Skip to main content

Deterministic Analog Placement by Enhanced Shape Functions

  • Chapter
  • First Online:
Analog Layout Synthesis

Abstract

For analog integrated circuits, generating a layout represents the bottleneck in the design flow. To automate the layout step, it is necessary to create placements with respect to various constraints automatically. Since the constraints can be numerous, an automatic generation of the layout constraints is crucial as well. In this chapter, a comprehensive and deterministic methodology for analog layout design automation is presented. An approach to automatically generate constraints for analog circuits is described. It recognizes building blocks, e.g., current mirrors, and symmetry conditions in the circuit and, with prioritized rules, generates constraints and hierarchy information. Then, a placement algorithm, called “Plantage”, is presented, which is capable to handle all relevant constraints. It uses the hierarchy information of the previous step to guide an enumeration process. Plantage calculates a Pareto front of placements with respect to different aspect ratios. The results show high quality in terms of area and postlayout circuit performance.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. John M. Cohn, David J. Garrod, Rob A. Rutenbar, and L. Richard Carley. Analog Device-Level Layout Automation. Kluwer, Dordecht, 1994.

    Google Scholar 

  2. Alan Hastings. The Art of Analog Layout. Prentice-Hall, Englewood Cliffs, NJ, 2001.

    Google Scholar 

  3. Enrico Malavasi and Alberto L. Sangiovanni-Vincentelli. Area Routing for Analog Layout. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 12(8):1186–1197, August 1993.

    Article  Google Scholar 

  4. L. Clavelier, B. Charlet, B. Giffard, and M. Roy. Deep trench isolation for 600 V SOI power devices. In Conference on European Solid-State Device Research, pages 497–500, September 2003.

    Google Scholar 

  5. E. Charbon, E. Malavasi, and A. Sangiovanni-Vincentelli. Generalized constraint generation for analog circuit design. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pages 408–414, 1993.

    Google Scholar 

  6. U. Choudhury and A. Sangiovanni-Vincentelli. Automatic generation of parasitic constraints for performance-constrained physical design of analog circuits. In IEEE/ACM International Conference on Computer-Aided Design and Manufacture of Electronic Components, pages 208–224, February 1993.

    Google Scholar 

  7. Enrico Malavasi, Edoardo Charbon, Eric Felt, and Alberto L. Sangiovanni-Vincentelli. Automation of IC Layout with Analog Constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 15(8):923–942, August 1996.

    Article  Google Scholar 

  8. D. J. Chen and B. J. Sheu. Generalised approach to automatic custom layout of analogue ICs. In Circuits, Devices and Systems, IEE Proceedings G, volume 139, pages 481–490, August 1992.

    Google Scholar 

  9. Qinsheng Hao, Sheqin Dong, Song Chen, Xianlong Hong, Yi Su, and Zhiyi Qu. Constraints generation for analog circuits layout. 2004 International Conference on Communications, Circuits and Systems, 2:1339–1343, volume 2, June 2004.

    Google Scholar 

  10. Bogdan G. Arsintescu. A Method for Analog Circuits Visualization. In IEEE International Conference on Computer Design (ICCD), pages 454–459, 1996.

    Google Scholar 

  11. M. E. Kole, J. Smit, and O. E. Herrmann. Modeling symmetry in analog electronic circuits. In IEEE International Symposium on Circuits and Systems (ISCAS), pages 315–318, May 1994.

    Google Scholar 

  12. Su Yi, Sheqin Dong, Qingsheng Hao, Xiangqing He, and Xianlong Hong. Automated Analog Circuits Symmetrical Layout Constraint Extraction by Partition. In ASIC, 2003. Proceedings. 5th International Conference on, volume 1 of 1, pages 166–169, October 2003.

    Google Scholar 

  13. Tobias Massier, Helmut Graeb, and Ulf Schlichtmann. The Sizing Rules Method for CMOS and Bipolar Analog Integrated Circuit Synthesis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27(12):2209–2222, December 2008.

    Article  Google Scholar 

  14. D. W. Jepsen and C. D. Gellat Jr. Macro Placement by Monte Carlo Annealing. In IEEE International Conference on Computer Design (ICCD), pages 495–498, 1983.

    Google Scholar 

  15. John M. Cohn, David J. Garrod, Rob A. Rutenbar, and L. Richard Carley. KOAN/ANAGRAM II: New Tools for Device-Level Analog Placement and Routing. IEEE Journal of Solid-State Circuits SC, 26(3):330–342, March 1991.

    Google Scholar 

  16. Koen Lampaert, Georges Gielen, and Willy M. Sansen. A Performance-Driven Placement Tool for Analog Integrated Circuits. IEEE Journal of Solid-State Circuits SC, 30(7):773–780, July 1995.

    Article  Google Scholar 

  17. Enrico Malavasi, Edoardo Charbon, Eric Felt, and Alberto L. Sangiovanni-Vincentelli. Automation of IC Layout with Analog Constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 15(8):923–942, August 1996.

    Article  Google Scholar 

  18. S. Kirkpatrick, C. D. Gelatt, Jr., and M. P. Vecchi. Optimization by Simulated Annealing. Science, 220:671–680, 1983.

    Article  MathSciNet  Google Scholar 

  19. Florin Balasa. Modeling Non-Slicing Floorplans with Binary Trees. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pages 13–17, University of Illinois at Chicago, Dept. of EECS, November 2000.

    Google Scholar 

  20. Pei-Ning Guo, Chung-Kuan Cheng, and Takeshi Yoshimura. An O-Tree Representation of Non-Slicing Floorplan and Its Applications. In ACM/IEEE Design Automation Conference (DAC), volume 36, pages 268–273, June 1999.

    Google Scholar 

  21. Yun-Chih Chang, Yao-Wen Chang, Guang-Ming Wu, and Shu-Wei Wu. B*-Trees: A New Representation for Non-Slicing Floorplans. In ACM/IEEE Design Automation Conference (DAC), volume 37, pages 458–463, 2000.

    Google Scholar 

  22. Florin Balasa, Sarat C. Maruvada, and Karthik Krishnamoorthy. On the Exploration of the Solution Space in Analog Placement With Symmetry Constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 23(2):177–191, February 2004.

    Article  Google Scholar 

  23. Po-Hung Lin, Yao-Wen Chang, and Shyh-Chang Lin. Analog Placement Based on Symmetry-Island Formulation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28(6):791–804, June 2009.

    Article  Google Scholar 

  24. H. Murata, K. Fujiyoshi, S. Nakatake, and Y. Kajitani. VLSI Module Placement Based on Rectangle-Packing by the Sequence-Pair. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 15(12):1518–1524, 1996.

    Article  Google Scholar 

  25. S. Nakatake, K. Fujiyoshi, H. Murata, and Y. Kajitani. Module Placement on BSG-Structure and IC Layout Applications. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pages 484–493, 1996.

    Google Scholar 

  26. X. Hong, G. Huang, Y. Cai, J. Gu, S. Dong, C.-K. Cheng, and J. Gu. Corner Block List: An Effective and Efficient Topological Representation of Non-Slicing Floorplan. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2000.

    Google Scholar 

  27. Qiang Ma, Evangeline F. Y. Yong, and K. P. Pun. Analog Placement with Common Centroid Constraints. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2007.

    Google Scholar 

  28. Jai-Ming Lin and Yao-Wen Chang. TCG-S: Orthogonal Coupling of P-admissible Representations for General Floorplans. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 23(6):968–980, June 2004.

    Article  Google Scholar 

  29. Yingxin Pang, Florin Balasa, Koen Lampaert, and Chung-Kuan Cheng. Block Placement with Symmetry Constraints based on the O-tree Non-Slicing Representation. In ACM/IEEE Design Automation Conference (DAC), pages 464–468, June 2000.

    Google Scholar 

  30. Florin Balasa and Koen Lampaert. Symmetry Within the Sequence-Pair Representation in the Context of Placement for Analog Design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 19(7):721–731, July 2000.

    Article  Google Scholar 

  31. Karthik Krishnamoorthy, Sarat C. Maruvada, and Florin Balasa. Fast Evaluation of Symmetric-Feasible Sequence-Pairs for Analog Topological Placement. In 5th IEEE Int. Conf. on ASIC (ASICON), pages 71–74, 2003.

    Google Scholar 

  32. Karthik Krishnamoorthy, Sarat C. Maruvada, and Florin Balasa. Topological Placement with Multiple Symmetry Groups of Devices for Analog Layout Design. In IEEE International Symposium on Circuits and Systems (ISCAS), pages 2032–2035, May 2007.

    Google Scholar 

  33. Yiu-Cheong Tam, Evangeline F. Y. Young, and Chris Chu. Analog Placement with Symmetry and Other Placement Constraints. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), November 2006.

    Google Scholar 

  34. David A. Johns and Ken Martin. Analog Integrated Circuit Design. John Wiley & Sons, 1997.

    Google Scholar 

  35. Frank Harary. Graph Theory. Addison-Wesley series in mathematics, 1969.

    Google Scholar 

  36. Brian S. Everitt. Cluster Analysis. Edward Arnold, 3 edition, 1993.

    Google Scholar 

  37. Martin Strasser, Michael Eick, Helmut Graeb, Ulf Schlichtmann, and Frank M. Johannes. Deterministic Analog Circuit Placement using Hierarchically Bounded Enumeration and Enhanced Shape Functions. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pages 306–313, November 2008.

    Google Scholar 

  38. R. H. J. M. Otten. Efficient Floorplan Optimization. In IEEE International Conference on Computer Design (ICCD), pages 499–501, October 1983.

    Google Scholar 

  39. Gerhard Zimmermann. A New Area and Shape Function Estimation Technique for VLSI Layouts. In ACM/IEEE Design Automation Conference (DAC), volume 25, pages 60–65, 1988.

    Google Scholar 

  40. Kenneth R. Laker and Willy Sansen. Design of Analog Integrated Circuits and Systems. McGraw-Hill, New York, 1994.

    Google Scholar 

  41. Ivano Galdi, Edoardo Bonizzoni, Piero MALCOVATI, Gabriele Manganaro, and Franco Maloberti. 40 MHz IF 1 MHz Bandwidth Two-Path Bandpass ΣΔ Modulator With 72 dB DR Consuming 16 mW. IEEE Journal of Solid-State Circuits SC, 43(7):1648–1656, July 2008.

    Article  Google Scholar 

  42. J. Fisher and R. Koch. A Highly Linear CMOS Buffer Amplifier. IEEE Journal of Solid-State Circuits SC, 22:330–334, 1987.

    Article  Google Scholar 

  43. Michael Eick, Martin Strasser, Helmut Graeb, and Ulf Schlichtmann. Automatic Generation of Hierarchical Placement Rules for Analog Integrated Circuits. In ACM/SIGDA International Symposium on Physical Design (ISPD), March 2010.

    Google Scholar 

  44. Shinichi Kouda, Chikaaki Kodama, and Kunihiro Fujiyoshi. Improved Method of Cell Placement with Symmetry Constraints for Analog IC Layout Design. In ACM/SIGDA International Symposium on Physical Design (ISPD), April 2006.

    Google Scholar 

  45. Po-Hung Lin and Shyh-Chang Lin. Analog Placement Based on Novel Symmetry-Island Formulation. In ACM/IEEE Design Automation Conference (DAC), pages 465–470, June 2007.

    Google Scholar 

  46. Po-Hung Lin and Shyh-Chang Lin. Analog placement based on hierarchical module clustering. In ACM/IEEE Design Automation Conference (DAC), pages 50–55, June 2008.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Martin Strasser .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2011 Springer Science+Business Media, LLC

About this chapter

Cite this chapter

Strasser, M., Eick, M., Graeb, H., Schlichtmann, U. (2011). Deterministic Analog Placement by Enhanced Shape Functions. In: Graeb, H. (eds) Analog Layout Synthesis. Springer, Boston, MA. https://doi.org/10.1007/978-1-4419-6932-3_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4419-6932-3_3

  • Published:

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-6931-6

  • Online ISBN: 978-1-4419-6932-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics