Skip to main content

Embedded MTCMOS Combinational Circuits

  • Chapter
Multi-Threshold CMOS Digital Circuits
  • 265 Accesses

Abstract

Several computer-aided methodologies have been proposed in the literature to optimally design MTCMOS circuits. Some of those methodologies employed high-V th switch sleep transistors, whereas others have involved embedded high-V th transistors or gates. This chapter presents CAD methods to optimally design MTCMOS circuits with embedded high-V th transistors or gates.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. N. Rohrer, C. Akrout, M. Canada, D. Cawthron, B. Davari, R. Floyd, S. Geissler, R. Gold-blatt, R. Houle, P. Kartschoke, D. Kramer, P. McCormick, G. Salem, R. Schulz, L. Su, and L. Whitney, “A 480MHz RISC Microprocessor in a 0.12µm Leff CMOS Technology with Copper Interconnects,” in International Solid-State Circuits Conference Digest of Technical Papers, pp. 240–241,1998.

    Google Scholar 

  2. C. Akrout, M. Canada, D. Cawthron, J. Corr, B. Davari, R. Floyd, S. Geissler, R. Gold-blatt, R. Houle, P. Kartschoke, D. Kramer, P. McCormick, N. Rohrer, G. Salem, R. Schulz, L. Su, and L. Whitney, “A 480MHz RISC Microprocessor in a 0.12µm Leff CMOS Technology with Copper Interconnects,” IEEE Journal of Solid-State Circuits, vol. 33, no. 11, pp. 1609–1616, November 1998.

    Article  Google Scholar 

  3. S. Sirichotiyakul, T. Edwards, C. Oh, R. Panda, and D. Blaauw, “Duet: An Accurate Leakage Estimation and Optimization Tool for Dual-Vt Circuits,” IEEE Transactions on VLSI Systems - Special Issue on Low Power Electronics and Design, vol. 10, no. 2, pp. 79–90, April 2002.

    Google Scholar 

  4. N. Kato, Y. Akita, M. Hiraki, T. Yamashita, T. Shimizu, F. Maki, and K. Yano, “Random Modulation: Multi-Threshold-Voltage Design Methodology in Sub-2-V Power Supply CMOS,” IEICE Transactions Electron., vol. E83-C, no. 11, pp. 1747–1754, November 2000.

    Google Scholar 

  5. J. Rabaey, Digital Integrated Circuits, Prentice Hall, 1996.

    Google Scholar 

  6. L. Wei, Z. Chen, M. Johnson, K. Roy, and V. De, “Design and Optimization of Low Voltage High Performance Dual Threshold CMOS Circuits,” Proc. of 35th Design Automation Conference, pp. 489–494, June 1998.

    Google Scholar 

  7. Q. Wang and S. Vrudhula, “Static Power Optimization of Deep Submicron CMOS Circuits for Dual Vt Technology,” in Proceedings of the International Conference on Computer Aided Design (ICCAD), pp. 490–496, November 1998.

    Google Scholar 

  8. Z. Chen, L. Wei, and K. Roy, “Estimation of Standby Leakage Power in CMOS Circuits Considering Accurate Modeling of Transistor Stacks,” in Proceedings of the International Symposium on Low-Power Electronics and Design, August 1998, pp. 239–244.

    Google Scholar 

  9. P. Antognetti, “CAD Model for Threshold and Subthreshold Conduction in MOSFETs,” IEEE Journal of Solid-State Circuits, vol. SC-17, pp. 454–458, June 1982.

    Article  Google Scholar 

  10. M. Johnson, D. Somasekhar, and K. Roy, “Models and Algorithms for Bounds on Leakage in CMOS Circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 18, no. 6, pp. 714–725,1995.

    Article  Google Scholar 

  11. M. Chen and J. Ho, “A Three-Parameter-Only MOSFET Subthreshold Current CAD Model Considering Back-gate Bias and Process Variation,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 16, no. 4, pp. 343–352, April 1997.

    Article  Google Scholar 

  12. J. Hatler and F. Najm, “A Gate-Level Leakage Power Reduction Method for Ultra Low-Power CMOS Circuits,” in Proceedings of the IEEE Custom Integrated Circuits Conference, 1997, pp. 475–478.

    Google Scholar 

  13. T. Kuroda, T. Fujita, S. Mita, T. Nagamatsu, S. Yoshioka, K. Suzuki, F. Sano, M. Norishima, M. Murota, M. Kako, M. Kinugawa, M. Kakumu, and T. Sakurai, “A 0.9V 150MHz l0mW 4mm2 2-D Discrete Cosine Transform Core Processor with Variable Threshold Voltage Scheme,” IEEE Journal of Solid-State Circuits, vol. 31, no. 11, pp. 1770–1779,Nov. 1996.

    Article  Google Scholar 

  14. L. Wei, Z. Chen, K. Roy, M. Johnson, and V. De, “Design and Optimization of Dual-Threshold Circuits for Low-Voltage Low-Power Applications,” IEEE Transactions on VLSI Systems, vol. 7, no. 1, pp. 16–24, March 1999.

    Article  Google Scholar 

  15. P. Pant, V. De, and A. Chatterjee, “Device-Circuit Optimization for Minimal Energy and Power Consumption in CMOS Random Logic Networks,” in Proceedings of the Design Automation Conference, pp. 403–408,1997.

    Chapter  Google Scholar 

  16. P. Pant, V. De, and A. Chatterjee, “Simultaneous Power Supply, Threshold Voltage, and Transistor Size Optimization for Low-Power Operation of CMOS Circuits,” IEEE Transactions on VLSI Systems, vol. 6, no. 4, pp. 538–545, December 1998.

    Article  Google Scholar 

  17. L. Wei, Z. Chen, and K. Roy, “Mixed-υth (MVT) CMOS Circuit Design Methodology for Low Power Applications,” in Proceedings of the 36th Design Automation Conference, pp. 430–435, June 1999.

    Google Scholar 

  18. V. Sundararajan and K. Parhi, “Low Power Synthesis of Dual Threshold Voltage CMOS VLSI Circuits,” in Proceedings of the IEEE International Symposium on Low Power Electronics and Design, pp. 139–144,1999.

    Google Scholar 

  19. L. Wei, K. Roy, and C. Koh, “Power Minimization by Simultaneous Dual-vth Assignment and Gate-sizing,” in Proceedings of the IEEE Custom Integrated Circuits Conference, 2000, pp. 413–416.

    Google Scholar 

  20. J. Fishburn and A. Dunlop, “TILOS: A Polynomial Programming Approach to Transistor Sizing,” in Proceedings of the International Conference on Computer Aided Design (ICCAD), pp. 326–328,1985.

    Google Scholar 

  21. S. Sirichotiyakul, T. Edwards, O. Chanhee, Z. Jingyan, A. Dharchoudhury, R. Panda, and D. Blaauw, “Stand-by Power Minimization through Simultaneous Threshold VoltageSelection and Circuit Sizing,” in Proceedings of the 36th Design Automation Conference, 1999, pp. 436–441.

    Google Scholar 

  22. A. Dharchoudhury, D. Blaauw, T. Noston, S. Pullela, and T. Dunning, “Transistor-level Sizing and Timing Verification of Domino Circuits in the power PC Microprocessor,” in Proceedings of the International Conference on Computer Design (ICCD), pp. 143–148, October 1997.

    Chapter  Google Scholar 

  23. M. Hirabayashi, K. Nose, and T. Sakurai, “Design Methodology and Optimization Strategy for Dual-Vth Scheme using Commercially Available Tools,” in Proceedings of the International Symposium on Low Power Electronics and Design, pp. 283–286, August 2001.

    Google Scholar 

Further Reading

  • M. Khellah and M. Elmasry, “Power Minimization of High-Performance Submicron CMOS Circuits Using a Dual-Vdd Dual-Vth (DVDV) Approach,” in Proc. IEEE International Symposium on Low Power Electronics and Design, pp. 106–108, August 1999.

    Google Scholar 

  • K. Khouri and N. Jha, “Leakage Power Analysis and Reduction during Behavioral Synthesis,” in Proc. IEEE International Conference on Computer Design, pp. 561–564, September 2000.

    Google Scholar 

  • P. Pant, R. Roy, and A. Chatterjee,“Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits,” IEEE Transactions on VLSI Systems, vol.9, no.2, pp. 390–394, April 2001.

    Article  Google Scholar 

  • T. Ishihara and K. Asada, “A System Level Memory Power Optimization Technique Using Multiple Supply and Threshold Voltages,” in Proc. ACM/IEEE Design Automation Conference, pp. 456–461, June 2001.

    Google Scholar 

  • M. Ketkar and S. Sapatnekar, “Standby Power Optimization via Transistor Sizing and Dual Threshold Voltage Assignment,” in Proc. IEEE International on Computer-Aided Design, pp. 375–378, November 2002.

    Google Scholar 

  • J. Kao, S. Narendra, and A. Chandrakasan, “Subthreshold Leakage Modeling and Reduction Techniques,” in Proc. IEEE International on Computer-Aided Design, pp. 141–148, November 2002.

    Google Scholar 

  • T. Karnik, S. Borkar, and V. De, “Sub-90nm Technologies - Challenges and Opportunities for CAD,” in Proc. IEEE International on Computer-Aided Design, pp. 203–206, November 2002.

    Google Scholar 

  • R. Brodersen, M. Horowitz, D. Markovic, B. Nikolic, and V. Stojanovic, “Methods for True Power Minimization,” in Proc. IEEE International on Computer-Aided Design, pp. 35–42, November 2002.

    Google Scholar 

  • K. Khouri and N. Jha, “Leakage Power Analysis and Reduction During Behavioral Synthesis,” IEEE Transactions on VLSI Systems, vol.10, no.6, pp. 876–885, December 2002.

    Article  Google Scholar 

  • A. Srivastava, “Simultaneous Vt Selection and Assignment for Leakage Optimization,” in Proc. IEEE International Symposium on Low Power Electronics and Design, August 2003. (To appear)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer Science+Business Media New York

About this chapter

Cite this chapter

Anis, M., Elmasry, M. (2003). Embedded MTCMOS Combinational Circuits. In: Multi-Threshold CMOS Digital Circuits. Springer, Boston, MA. https://doi.org/10.1007/978-1-4615-0391-0_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4615-0391-0_3

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4613-5053-8

  • Online ISBN: 978-1-4615-0391-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics