Skip to main content

Hardware Trojans in Microcircuits

  • Chapter
  • First Online:
Viruses, Hardware and Software Trojans

Abstract

This chapter is an overview dedicated to detailed analysis of structures and mechanisms of operation of hardware Trojans in modern microcircuits. The beginning of the chapter describes theoretical basis of designing safe electronic equipment for critical applications and the first documented facts of detection of hardware Trojans in critical microcircuits. The chapter contains detailed overview of the classification of hardware Trojans in microcircuits, methods for injecting them into microcircuits and all basic mechanisms of activation of embedded hardware Trojans. Detailed are the most effective methods of identification of hardware Trojans in critical microcircuit. Also examined are the examples of development and implementation of specific types of hardware Trojans. Using specific examples, the features of introduction of hardware Trojans into passive radio frequency tags and wireless cryptographic ICs are considered. The final part of the chapter contains a more detailed review of the basic methods of designing hardware Trojans, as well as overview of the most effective methods of identification of hardware Trojans in microcircuits.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. M. Rostami, F. Koushanfar, R. Karri, A primer on hardware security: models. Methods Metr. 102(8), 1283–1287 (2014)

    Google Scholar 

  2. S. Skorobogatov, Hardware assurance and its importance to national security (2012), http://www.cl.cam.ac.uk/sps32/secnews.html

  3. A.I. Belous, V.A. Solodukha, S.V. Shvedov, Software and hardware Trojans—implementation methods and methods of counteraction, in The First Technical Encyclopedia, vol. 2. (TECHNOSPHERE, Moscow, 2018), 688 p. ISBN 978-5-94836-524-4

    Google Scholar 

  4. 112th Congress, Inquiry into counterfeit electronic parts in the department of defense supply chain, Senate Report of the Committee on Armed Services (2012)

    Google Scholar 

  5. J. Grand, J. Applebaum, C. Tarnovsky. «Smart» parking meter implementations, globalism, you aka meter maids eat their young (2009), https://www.defcon.org/images/defcon-17/dc-17-presentations/defcon-17-grandappelbaum-tarnovsky-smart_parking.pdf

  6. My Arduino can beat up your hotel room lock (2012), http://demoseen.com/bhpaper.html

  7. A. Huang Hacking the PIC 18F1320 (2007), http://www.bunniestudios.com/blog/?page_id=40

  8. Office of the Under Secretary of Defense For Acquisition, Technology, Logistics, Defense Science Board (DSB) study on high performance microchip supply (2005), www.acq.osd.mil/dsb/reports/ADA435563.pdf

  9. J. Roy, F. Koushanfar, I. Markov, EPIC: ending piracy of integrated circuits. IEEE Comput. 43(10), 30–38 (2010)

    Article  Google Scholar 

  10. R. Torrance, D. James, The state-of-the-art in semiconductor reverse engineering, in Proceedings of the IEEE/ACM Design Automation Conference (2011), pp. 333–338

    Google Scholar 

  11. P. Kocher, J. Jaffe, B. Jun, Differential power analysis. Adv. Cryptol., 388–397 (1999)

    Google Scholar 

  12. F. Koushanfar et al., Can EDA combat the rise of electronic ounterfeiting?, in Proceedings of the IEEE/ACM Design Automation Conference (2012), pp. 133–138

    Google Scholar 

  13. SEMI, Innovation is at risk as semiconductor equipment and materials industry loses up to $4 billion annually due to IP infringement (2008), www.semi.org/en/Press/P043775

  14. M. Rostami, F. Koushanfar, J. Rajendran, R. Karri, Hardware security: threat models and metrics, in Proceedings of the International Conference on Computer-Aided Design (2013), pp. 819–823

    Google Scholar 

  15. F. Koushanfar, A. Mirhoseini, A unified framework for multimodal submodular integrated circuits trojan detection. IEEE Trans. Inf. Forensics Secur. 6(1), 162–174 (2011)

    Article  Google Scholar 

  16. R. Karri, J. Rajendran, K. Rosenfeld, M. Tehranipoor, Trustworthy hardware: identifying and classifying hardware trojans. IEEE Comput. 43(10), 39–46 (2010)

    Article  Google Scholar 

  17. M. Tehranipoor, F. Koushanfar, A survey of hardware trojan taxonomy and detection. IEEE Des. Test Comput. 27(1), 10–25 (2010)

    Article  Google Scholar 

  18. E. Love, Y. Jin, Y. Makris, Proof-carrying hardware intellectual property: A pathway to trusted module acquisition. IEEE Trans. Inf. Forensics Secur. 7(1), 25–40 (2012)

    Article  Google Scholar 

  19. A. Waksman, S. Sethumadhavan. Silencing hardware backdoors, in Proceedings of the IEEE Symposium on Security and Privacy (2011), pp. 49–63

    Google Scholar 

  20. M. Hicks, M. Finnicum, S.T. King, M. Martin, J.M. Smith, Overcoming an untrusted computing base: detecting and removing malicious hardware automatically, in Proceedings of the 2010 IEEE Symposium on Security and Privacy (SP 2010) (2010), pp. 159–172, https://doi.org/10.1109/SP.2010.18

  21. C. Sturton, M. Hicks, D. Wagner and S. T. King. Defeating UCI: Building stealthy and malicious hardware, in Proceedings of the IEEE Symposium on Security and Privacy (2011), pp. 64–77

    Google Scholar 

  22. D. Agrawal, S. Baktir, D. Karakoyunlu, P. Rohatgi, B. Sunar, Trojan detection using IC fingerprinting, in Proceedings of the IEEE Symposium on Security and Privacy, 2007 (SP 2007) (IEEE CS Press, 2007), pp. 296–310, https://doi.org/10.1109/SP.2007.36

  23. R.M. Rad, X. Wang, M. Tehranipoor, J. Plusquellic, Power supply signal calibration techniques for improving detection resolution to hardware trojans, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2008), pp. 632–639

    Google Scholar 

  24. Y. Jin, Y. Makris, Hardware Trojan detection using path delay fingerprint, in Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust (2008), pp. 51–57

    Google Scholar 

  25. M. Potkonjak, A. Nahapetian, M. Nelson, T. Massey, Hardware Trojan horse detection using gate-level characterization, in Proceedings of the IEEE/ACM Design Automation Conference (2009), pp. 688–693

    Google Scholar 

  26. Y. Alkabani, F. Koushanfar, Consistency-based characterization for IC Trojan detection, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2009), pp. 123–127

    Google Scholar 

  27. K. Hu, A.N. Nowroz, S. Reda, F. Koushanfar, High-sensitivity hardware trojan detection using multimodal characterization, in Proceeding of the Design, Automation and Test in Europe Conference and Exhibition (2013), pp. 1271–1276

    Google Scholar 

  28. R. Chakraborty, S. Bhunia. HARPOON: an obfuscation-based SoC design methodology for hardware protection, IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 28(10), 1493–1502 (2009)

    Google Scholar 

  29. A. Kahng et al., Watermarking techniques for intellectual property protection, in Proceedings of the IEEE/ACM Design Automation Conference (1998), pp. 776–781

    Google Scholar 

  30. Y. Alkabani, F. Koushanfar, Active hardware metering for intellectual property protection and security, in Proceedings of the 16th USENIX Security Symposium (2007), pp. 291–306

    Google Scholar 

  31. F. Koushanfar, I. Hong, M. Potkonjak, Behavioral synthesis techniques for intellectual property protection, ACM Trans. Design Autom. Electron. Syst. 10(3), 523–545 (2005). A. Kahng et al. Robust IP watermarking methodologies for physical design, in Proceedings of the ACM/IEEE Design Automation Conference (1998), pp. 782–787

    Google Scholar 

  32. J. Lach, W Mangione-Smith, M. Potkonjak, FPGA fingerprinting techniques for protecting intellectual property, in Proceedings of IEEE Custom Integrated Circuits Conference (1998), pp. 299–302

    Google Scholar 

  33. G. Wolfe, J.L. Wong, M. Potkonjak, Watermarking graph partitioning solutions, in Proceedings of the ACM/IEEE Design Automation Conference (2001), pp. 486–489

    Google Scholar 

  34. C. Alpert, A. Kahng, Recent directions in netlist partitioning. Integration VLSI J. 19(1–2), 1–81 (1995)

    Article  Google Scholar 

  35. F. Koushanfar, Y. Alkabani, Provably secure obfuscation of diverse watermarks for sequential circuits, in Proceedings of The IEEE International Symposium on Hardware Oriented Security and Trust (2010), pp. 42–47

    Google Scholar 

  36. A. Caldwell et al., Effective iterative techniques for fingerprinting design IP, IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 23(2), 208–215 (2004)

    Google Scholar 

  37. J.B. Wendt, F. Koushanfar, M. Potkonjak, Techniques for foundry identification, in Proceeding of the Design Automation Conference (2014). https://doi.org/10.1145/2593069.2593228

  38. D. Holcomb, W. Burleson, K. Fu, Power-up SRAM state as an identifying fingerprint and source of true random numbers. IEEE Trans. Comput. 58(9), 1198–1210 (2009)

    Article  MathSciNet  Google Scholar 

  39. Defense Advanced Research Projects Agency (DARPA), Supply Chain Hardware Integrity for Electronics Defense (SHIELD), Microsystems Technology Office/MTO Broad Agency Announcement (2014)

    Google Scholar 

  40. F. Koushanfar and R. Karri. Can the shield protect our integrated circuits? in Proceedings of the Midwest Symposium on Circuits and Systems (2014), pp. 51–57

    Google Scholar 

  41. M. Rostami, M. Majzoobi, F. Koushanfar, D. Wallach, S. Devadas, Robust and reverse engineering resilient puf authentication and key-exchange by substring matching. IEEE Trans. Emerg. Top. Comput. 2(1), 37–49 (2014)

    Article  Google Scholar 

  42. Y. Alkabani, F. Koushanfar, N. Kiyavash, M. Potkonjak, Trusted integrated circuits: a nondestructive hidden characteristics extraction approach, in Information Hiding, Series. Lecture Notes in Computer Science, vol. 5284 (Springer, Berlin, Germany, 2008), pp. 102–117

    Google Scholar 

  43. U. Ruhrmair, S. Devadas, F. Koushanfar, Security based on physical unclonability and disorder Introduction to Hardware Security and Trust (Springer, New York, NY, USA, 2011)

    Google Scholar 

  44. J. Rajendran, Y Pino, O. Sinanoglu and R. Karri. Security analysis of logic obfuscation, in Proceedings of the IEEE/ACM Design Automation Conference (2012), pp. 83–89

    Google Scholar 

  45. A. Baumgarten, A. Tyagi, J. Zambreno, Preventing IC piracy using reconfigurable logic barriers. IEEE Des. Test Comput. 27(1), 66–75 (2010)

    Article  Google Scholar 

  46. Y. Alkabani, F. Koushanfar and M. Potkonjak. Remote activation of ICs for piracy prevention and digital right management, in Proc. IEEE/ACM Int. Conf. Comput.-Aided Design, 2007. P. 674-677

    Google Scholar 

  47. R. Chakraborty, S. Bhunia, RTL hardware IP protection using key-based control and data flow obfuscation, in Proceedings of the IEEE International Conference on VLSI Design (2010), 405–410

    Google Scholar 

  48. R. Chakraborty, S. Bhunia. Hardware protection and authentication through netlist level obfuscation, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (2008), pp. 674–677

    Google Scholar 

  49. R.S. Chakraborty, S. Bhunia, Security against hardware Trojan through a novel application of design obfuscation, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design—Digest of Technical Papers, 2009 (ICCAD 2009) (2009), pp. 113–116

    Google Scholar 

  50. F. Koushanfar, G. Qu, Hardware metering, in Proceedings of the IEEE/ACM Design Automation Conference (2001), pp. 490–493

    Google Scholar 

  51. F. Koushanfar, Provably secure active IC metering techniques for piracy avoidance and digital rights management. IEEE Trans. Inf. Forensics Secur. 7(1), 51–63 (2012)

    Article  Google Scholar 

  52. F Koushanfar, G. Qu and M. Potkonjak. Intellectual property metering, in Proc. Inf. Hiding Workshop, 2001. P 81-95

    Google Scholar 

  53. Intelligence Advanced Research Projects Activity (IARPA), Trusted integrated circuits program (2011), https://www.fbo.gov/utils/view?id=b8be3d2c5d5babbdffc6975c370247a6

  54. R. Jarvis, M.G. McIntyre, Split manufacturing method for advanced semiconductor circuits, U.S. Patent 7 195 931 (2004)

    Google Scholar 

  55. B. Hill, R. Karmazin, C.T.O. Otero, J. Tse, R. Manohar, A split-foundry asynchronous FPGA. In Proceedings of the 2013 IEEE Custom Integrated Circuits Conference (CICC 2013) (2013), pp. 1–4, http://dx.doi.org/10.1109/CICC.2013.6658536

  56. J. Rajendran, O. Sinanoglu, R. Karri, Is split manufacturing secure? in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (2013), pp. 1259–1264

    Google Scholar 

  57. J. Rajendran, Y. Pino, O. Sinanoglu, R. Karri, Logic encryption: a fault analysis perspective, in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (2012), 953–958

    Google Scholar 

  58. Chipworks, Intel’s 22-nm tri-gate transistors exposed (2012), http://www.chipworks.com/blog/technologyblog/2012/04/23/intels-22-nmtri-gate-transistors-exposed/

  59. Defense Advanced Research Projects Agency (DARPA), Integrity and reliability of integrated circuits (IRIS) (2012), http://wwwdarpa.mil/Our_Work/MTO/Programs/Integrity_and_ReliabilityofIntegratedCircuits

  60. ExtremeTech, iPhone 5 A6 SoC reverse engineered, reveals rare hand-made custom CPU, tri-core GPU, http://tinyurl.com/9yn23he

  61. Chipworks, Reverse engineering software, http://www.chipworks.com/en/technical-competitive-analysis/resources/reverse-engineering-software

  62. Degate, http://www.degate.org/documentation/

  63. W.M.V Fleet, M.R. Dransfield, Method of recovering a gate-level netlist from a transistor-level, U.S. Patent 6 190 433 (1998)

    Google Scholar 

  64. M. Hansen, H. Yalcin, J. Hayes, Unveiling the ISCAS-85 benchmarks: a case study in reverse engineering. IEEE Des. Test Comput. 16(3), 72–80 (1999)

    Article  Google Scholar 

  65. W. Li, Z. Wasson, S.A. Seshia, Reverse engineering circuits using behavioral pattern mining, in Proceedings of the 2012 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST 2012) (2012), pp. 83–88, http://dx.doi.org/10.1109/HST.2012.6224325

  66. P Subramanyan et al., Reverse engineering digital circuits using functional analysis, in Proceeding of the Design, Automation and Test in Europe Conference and Exhibition (2013), pp. 1277–1280

    Google Scholar 

  67. Syphermedia, Syphermedia library circuit camouflage technology, http://www.smi.tv/solutions.htm

  68. J.P Baukus, L.W Chow, R Cocchi, B.J. Wang, Method and apparatus for camouflaging a standard cell based integrated circuit with micro circuits and post processing, U.S. Patent 2012 0 139 582 (2012)

    Google Scholar 

  69. J.P Baukus, L.W Chow, R.P Cocchi, P Ouyang, B.J. Wang, Building block for a secure CMOS logic cell library, U.S. Patent 8 111 089 (2012)

    Google Scholar 

  70. J.P Baukus, L.W Chow, W Clark. Integrated circuits protected against reverse engineering and method for fabricating the same using an apparent metal contact line terminating on field oxide, U.S. Patent 2002 0 096 776 (2002). J.P. Baukus, L.W Chow, R.P. Cocchi, P Ouyang, B.J. Wang, Camouflaging a standard cell based integrated circuit, U.S. Patent 8 151 235 (2012)

    Google Scholar 

  71. J. Rajendran, M. Sam, O. Sinanoglu, R. Karri, Security analysis of integrated circuit camouflaging, in Proceedings of the 2013 ACM SIGSAC Conference on Computer & Communications Security (CCS 2013) (ACM, New York, NY, 2013), pp. 709–720, https://doi.org/10.1145/2508859.2516656

  72. J. Rajendran, O. Sinanoglu, R. Karri, VLSI testing based security metric for IC camouflaging, in Proceedings of IEEE International Test Conference (2013), https://doi.org/10.1109/test.2013.6651879

  73. P. Rohatgi, Improved Techniques for Side-Channel Analysis, Cryptographic Engineering (Springer, New York, NY, USA, 2009), pp. 381–406

    Google Scholar 

  74. C. Paar, J. Pelzl, B. Preneel, Understanding Cryptography: A Textbook for Students and Practitioners (Springer-Verlag, New York, NY, USA, 2010)

    Book  Google Scholar 

  75. F. Koeune, F.-X. Standaert, A tutorial on Physical Security and Side-Channel Attacks Foundations of Security Analysis and Design III (Springer, Berlin, Germany, 2005), pp. 78–108

    MATH  Google Scholar 

  76. P. Rohatgi, Electromagnetic Attacks and Countermeasures, Cryptographic Engineering (Springer, Berlin, Germany, 2009), pp. 407–430

    Book  Google Scholar 

  77. A. Schlosser, D. Nedospasov, J. Kramer, S. Orlic, J.-P. Seifert, Simple photonic emission analysis of AES. J. Cryptogr. Eng. 3(1), 3–15 (2013)

    Article  Google Scholar 

  78. D. Genkin, A. Shamir, E. Tromer, RSA key extraction via low-bandwidth acoustic cryptanalysis, Cryptology ePrint Archive, Rep. 2013/857 (2013)

    Google Scholar 

  79. H. Bar-El, H. Choukri, D. Naccache, M. Tunstall, C. Whelan, The sorcerer’s apprentice guide to fault attacks. Proc. IEEE 94(2), 370–382 (2006)

    Article  Google Scholar 

  80. B. Yang, K. Wu, R. Karri, Scan based side channel attack on dedicated hardware implementations of data encryption standard, in Proceedings of IEEE International Test Conference (2004), pp. 339–344

    Google Scholar 

  81. J. Lee, M. Tehranipoor, C. Patel, J. Plusquellic, Securing designs against scan-based side-channel attacks. IEEE Trans. Dependable Secure Comput. 4(4), 325–336 (2007)

    Google Scholar 

  82. M. Agrawal, S. Karmakar, D. Saha, D. Mukhopadhyay, Scan based side channel attacks on stream ciphers and their counter-measures, in Proceedings of the INDOCRYPT (2008), pp. 226–238

    Google Scholar 

  83. D. Merli, D. Schuster, F. Stumpf, G. Sigl, Side-Channel Analysis of PUFs and Fuzzy Extractors, Trust and Trustworthy Computing (Springer, New York, NY, USA, 2011), pp. 33–47

    Book  Google Scholar 

  84. U. Ruhrmair et al., Power and timing side channels for PUFs and their efficient exploitation, Cryptology ePrint Archive, Rep. 2013/851 (2013)

    Google Scholar 

  85. D. Karakoyunlu, B. Sunar, Differential template attacks on PUF enabled cryptographic devices, in Proceedings of the International Workshop on Information Forensics and Security (2010), https://doi.org/10.1109/WIFS.2010.5711445

  86. A. Mahmoud, U. R hrmair, M. Majzoobi and F. Koushanfar. Combined modeling and side channel attacks on strong PUFs, Cryptology ePrint Archivetx, Rep. 2013/632, 2013. [Online]. Available: http://eprint.iacr.org/

  87. B. Kopf, D. Basin, Aninformation-theoretic model for adaptive side-channel attacks, in Proceedings of the ACM Conference on Computer and Communications Security (2007), pp. 286–296

    Google Scholar 

  88. P. Rakers, L. Connell, T. Collins, D. Russell, Secure contactless smartcard ASIC with DPA protection. J. Solid-State Circu. 36(3), 559–565 (2001)

    Article  Google Scholar 

  89. K. Tiri, M. Akmal, I. Verbauwhede, A dynamic and differential CMOS logic with signal independent power consumption to withstand differential power analysis on smart cards, in Proceedings of the European Solid-State Circuits Conference (2002), pp. 403–406

    Google Scholar 

  90. S. Moore, R. Anderson, R. Mullins, G. Taylor, J.J. Fournier, Balanced self-checking asynchronous logic for smart card applications. Microprocess. Microsyst. 27(9), 421–430 (2003)

    Article  Google Scholar 

  91. F. Mace, F-X. Standaert, I. Hassoune, J.-D. Legat, J.-J. Quisquater, A dynamic current mode logic to counteract power analysis attacks, in Proceedings of the International Conference on Design of Circuits and Integrated Systems (2004), pp. 186–191

    Google Scholar 

  92. M. Stanojlovic, P Petkovic, Strategies against side-channel-attack, in Proceedings of the Small Systems Simulation Symposium (2010), pp. 86–89

    Google Scholar 

  93. M. Joye, Basics of Side-Channel Analysis, Cryptographic Engineering (Springer, Berlin, Germany, 2009), pp. 365–380

    Book  Google Scholar 

  94. P. Kocher, J. Jaffe, B. Jun, P. Rohatgi, Introduction to differential power analysis. J. Cryptogr. Eng. 1(1), 5–27 (2011)

    Article  Google Scholar 

  95. C. Clavier, J.-S. Coron, N. Dabbous, Differential power analysis in the presence of hardware countermeasures, in Cryptographic Hardware and Embedded Systems, vol. 1965, ser. Lecture Notes in Computer Science (Springer, Berlin, Germany, 2000), pp. 252–263

    Google Scholar 

  96. P.C. Kocher, Leak-resistant cryptographic indexed key update, U.S. Patent 6 539 092 (2003)

    Google Scholar 

  97. J. Demme, R. Martin, A. Waksman, S. Sethumadhavan, Side-channel vulnerability factor: a metric for measuring information leakage, in Proceedings of the IEEE International Symposium on Computer Architecture (2012), pp. 106–117

    Google Scholar 

  98. J. Katz and V. Vaikuntanathan. Signature schemes with bounded leakage resilience, in Advances in Cryptology, vol. 5912, ser. Lecture Notes in Computer Science (Springer, Berlin, Germany, 2009), pp. 703–720

    Google Scholar 

  99. Y. Yu, F-X. Standaert, O. Pereira, M. Yung, Practical leakage-resilient pseudorandom generators, in Proceedings of the ACM Conference on Computer and Communications (2010), pp. 141–151

    Google Scholar 

  100. F.-X. Standaert, O. Pereira, Y Yu, J.-J. Quisquater, M. Yung, E. Oswald, Leakage resilient cryptography in practice, in Towards Hardware-Intrinsic Security, ser. Information Security and Cryptography (Springer, Berlin, Germany, 2010), pp. 99–134

    Google Scholar 

  101. B. Yang, K. Wu, R. Karri, Secure scan: a design-for-test architecture for crypto chips, IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 25(10), 2287–2293 (2006)

    Google Scholar 

  102. D. Boneh, Cryptography I (2013), https://class.coursera.org/crypto-007/class/index

  103. L. Domnitser, N. Abu-Ghazaleh, D. Ponomarev, A predictive model for cache-based side channels in multicore and multithreaded microprocessors, in Computer Network Security, vol. 6258, ser. Lecture Notes in Computer Science (Springer, Berlin, Germany, 2010), pp. 70–85

    Google Scholar 

  104. J.-S. Coron, P Kocher, D. Naccache, Statistics and secret leakage, in Financial Cryptography, vol. 1962, ser. Lecture Notes in Computer Science (Springer, Berlin, Germany, 2001), pp. 157–173

    Google Scholar 

  105. Y. Alkabani, F. Koushanfar, Active hardware metering for intellectual property protection and security, in Proceedings of the USENIX Security Symposium (2007), pp. 291–306

    Google Scholar 

  106. V. Huard, M. Denais, C. Parthasarathy, NBTI degradation: from physical mechanisms to modelling. Microelectron. Reliab. 46(1), 1–23 (2006)

    Article  Google Scholar 

  107. K. Chatterjee and D. Das. Semiconductor manufacturers’ efforts to improve trust in the electronic part supply chain, IEEE Trans. Compon. Packag. Technol. 30(3), 547–549 (2007). S. Wei, A. Nahapetian and M. Potkonjak. Quantitative intellectual property protection using physical-level characterization, IEEE Trans. Inf. Forensics Secur. 8(11), 1722–1730 (2013)

    Google Scholar 

  108. K. Huang, J. Carulli and Y Makris. Parametric counterfeit IC detection via support vector machines, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (2012), pp. 7–12

    Google Scholar 

  109. X. Zhang, K. Xiao, M. Tehranipoor, Path-delay fingerprinting for identification of recovered ICs, in Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (2012), pp. 13–18

    Google Scholar 

  110. S. Skorobogatov, C. Woods, Breakthrough silicon scanning discovers backdoor in military chip. University of Cambridge, Computer Laboratory, Cambridge, UK sps32@ cam.ac.uk 2 Quo Vadis Labs, London, UK, chris@quovadislabs.com

    Google Scholar 

  111. M. Tehranipoor, F. Koushanfar, A survey of hardware Trojan taxonomy and detection. IEEE Des. Test Comput. (2010)

    Google Scholar 

  112. JTAG Boundary scan. IEEE Std 1149.1-2001

    Google Scholar 

  113. JTAG Programming specification. IEEE 1532-2002

    Google Scholar 

  114. J. DaRolt, G. Di Natale, M.-L. Flottes, B. Rouzeyre, New security threats against chips containing scan chain structures. HOST (2011), 110–115

    Google Scholar 

  115. P. Kocher, J. Jaffe, B. Jun, Differential Power Analysis. CRYPTO 1999, LNCS, vol. 1666 (Springer, 1999), pp. 388–397

    Google Scholar 

  116. Actel, ISP and STAPL, Application Note AC171, http://www.actel.com/documents/ISPSTAPLAN.pdf

  117. Actel ProASIC3/E Production FPGAs, Features and Advantages (2007), http://www.actel.com/documents/PA3_E_Tech_WP

  118. Design Security in Nonvolatile Flash and Antifuse FPGAs, Security Backgrounder, http://www.actel.com/documents/DesignSecurityWP.pdf

  119. ProASIC3 Frequently Asked Questions, Actel Corporation, Mountain View, CA 940434655 USA, http://www.actel.com/documents/pa3faq.html

  120. S. Skorobogatov, Flash memory ‘bumping’ attacks, in Cryptographic Hardware and Embedded Systems Workshop (CHES 2010), LNCS, vol. 6225 (Springer, August 2010), pp. 158–172

    Google Scholar 

  121. S. Skorobogatov, C. Woods. In the blink of an eye: There goes your AES key. IACR Cryptology ePrint Archive, Report 2012/296, 2012. http://eprint.iacr.org/2012/296

  122. Integrated Circuit Investigation Method and Apparatus. Patent number WO2012/046029 A1

    Google Scholar 

  123. S. Skorobogatov: Synchronization method for SCA and fault attacks. J. Cryptogr. Eng. (JCEN) 1(1) (2011), 71–77

    Google Scholar 

  124. Intrinsic ID, Quiddikey on ProASIC3 FPGAs http://www.intrinsic-id.com

  125. A. Bacилькoв. Aппapaтныe тpoяны для пpoцeccopoв Intel—пepвaя пpaктичecкaя peaлизaция

    Google Scholar 

  126. The Free Dictionary. Backdoor, http://www.thefreedictionary.com/backdoor

  127. J. Rajendran, E. Havas, H. Jimenez, V. Padman, R. Curry, On the way to a complete and systematic classification of hardware Trojans. Polytechnic Institute of the University of New York, USA. http://www.acq.osd.mil/dsb/reports/2005-02-HPMS_Report_Final.pdf

  128. D. Cuttron, A. Tamoni and A. Radoseya. Rpi command: high-speed calculator. http://isis.poly.edu/-vikram/rpi.pdf

  129. A. Baumgarten, M. Klausman, B. Lindenman, M. Steffen, B. Trotter, J. Zambreno. Embedded Systems Issues. http://isis.poly.edu/-vikram/iowa_state.pdf

  130. E. Kuznetsov, A. Saur. Hardware Trojans. Part 1: new threats to cybersecurity. Nanoindustry (2016) (7), 16

    Google Scholar 

  131. http://www.darpa.mil/mto/solicitations/baa07-24/index.html

  132. M. Abramovici, P. Bradley, Integrated circuit security: new threats and solutions, in Proceedings of the 5th Annual Workshop on Cyber Security and Information Intelligence Research: Cyber Security and Information Intelligence Challenges and Strategies (ACM, 2009), p. 55

    Google Scholar 

  133. J. Rajendran et al., Towards a comprehensive and systematic classification of hardware trojans, in Circuits and Systems (ISCAS), Proceedings of 2010 IEEE International Symposium on (IEEE, 2010), pp. 1871–1874

    Google Scholar 

  134. S. Mitchell, D. Stefan and S.G. Almenar. Attacks through hardware Trojans, which lead to a violation of cryptographic security in fpga encryption systems. http://isis.poly.edu/-vikram/cooper.pdf

  135. I. Gene, N. Kupp, I. Markis, Experience in the design and implementation of hardware Trojans, in IEEE Protocol Seminar on Hardware-Assured Security and Reliability (June 2009), pp. 50–57

    Google Scholar 

  136. S. Wang, M. Teranipur, J. Plyuskellik. Detection of malicious inclusions in secure hardware: problems and solutions, in IEEE International Workshop on Hardware-Ensured Security and Reliability, 2008 (June 2008), pp. 15–19

    Google Scholar 

  137. A.I. Belous, V.A. Solodukha, S.V. Shvedov, Software and hardware Trojans—methods of implementation and methods of counteraction, in The First Technical Encyclopedia, vol. 2 (TECHNOSPHERE, Moscow, 2018), 688 p. ISBN 978-5-94836-524-4

    Google Scholar 

  138. G.T. Becker et al., Stealthy dopant-level hardware trojans, in Cryptographic Hardware and Embedded Systems-CHES 2013 (Springer, Berlin, Heidelberg, 2013), pp. 197–214

    Google Scholar 

  139. Embedded System Challenge. https://esc.isis.poly.ed

  140. R.S. Chakraborty, S. Narasimhan, S. Bhunia, Hardware Trojan: threats and emerging solutions, in High Level Design Validation and Test Workshop. 2009. HLDVT 2009. IEEE International (IEEE, 2009), pp. 166–171

    Google Scholar 

  141. J. Rajendran et al., Towards a comprehensive and systematic classification of hardware trojans, in Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS) (IEEE, 2010), pp. 1871–1874

    Google Scholar 

  142. Y. Jin, Y. Makris, Hardware Trojans in wireless cryptographic integrated circuits. Des. Test, IEEE. Iss. 99 (2013), 1

    Google Scholar 

  143. L. Lin, W. Burleson, C. Paar, MOLES: malicious off-chip leakage enabled by side-channels, in Proceedings of the 2009 International Conference on Computer-Aided Design (ACM, 2009), pp. 117–122, http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=5361303

  144. F. Wolff et al., Towards Trojan-free Trusted ICs: Problem analysis and detection scheme, in Proceedings of the Conference on Design, Automation and Test in Europe (ACM, 2008), pp. 1362–1365

    Google Scholar 

  145. R. Karri et al., Trustworthy hardware: identifying and classifying hardware trojans. Computer. 43(10), 39–46 (2010)

    Google Scholar 

  146. J.A. Roy, F. Koushanfar, I.L. Markov, Extended abstract: circuit CAD tools as a security threat, in Proceedings of the IEEE International Workshop Hardware-Oriented Security and Trust HOST 2008 (2008), pp. 65–66, https://doi.org/10.1109/hst.2008.4559052

  147. Y. Jin, Y. Makris Y, Hardware Trojans in wireless cryptographic integrated circuits. Des Test, IEEE. Iss. 99 (2013), 1

    Google Scholar 

  148. S. Adee, The hunt for the kill switch. Spectrum IEEE 45(5), 34–39 (2008)

    Article  Google Scholar 

  149. M.S. Anderson, C.J.G. North, K.K. Yiu, Towards Countering the Rise of the Silicon Trojan. Technical report, 12 (2008). URL 20PR.pdf

    Google Scholar 

  150. Y. Jin, Y. Makris, Hardware Trojan detection using path delay ftngerprint, in IEEE International Workshop on Hardware- Oriented Security and Trust, 2008. HOST 2008 (2008), pp. 51–57. https://doi.org/10.1109/hst.2008.4559049

  151. M. Banga, M.S. Hsiao, Trusted RTL: Trojan detection methodology in pre-silicon designs, in Proceedings of The IEEE International Symposium on Hardware Oriented Security and Trust (2010), pp. 56–59, https://doi.org/10.1109/hst.2010.5513114

  152. M. Banga, M.S. Hsiao, VITAMIN: Voltage inversion technique to ascertain malicious insertions in ICs, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2009. HOST 2009 (2009) pp. 104–107, https://doi.org/10.1109/hst.2009.5224960

  153. M. Banga, M.S. Hsiao, A Novel Sustained Vector Technique for the Detection of Hardware Trojans, in Proceedings of the 2009 22nd International Conference on VLSI Design (2009), pp. 327–332. https://doi.org/10.1109/vlsi.design.2009.22

  154. M. Banga, Partition based Approaches for the Isolation and Detection of Embedded Trojans in ICs. Master’s thesis, Faculty of Virginia Polytechnic Institute and State University, 09 2008. http://scholar.lib.vt.edu/theses/available/etd-09042008-155719/unrestricted/MS_Thesis_Mainak.pdf

  155. M. Banga, M. Chandrasekar, L. Fang, M.S. Hsiao. Guided Test Generation for Isolation and Detection of Embedded Trojans in ICs, in ttLSVLSI 2008: Proceedings of the 18th ACM ttreat Lakes symposium on VLSI (ACM, New York, NY, USA, 2008), pp. 363–366. ISBN 978-1-59593-999-9. http://doi.acm.org/10.1145/1366110.1366196

  156. A. Baumgarten, M. Steffen, M. Clausman, J. Zambreno, A case study in hardware Trojan design and implementation. Int. J. Inf. Secur. 10, 1–14 (2010). ISSN 1615-5262. http://dx.doi.org/10.1007/s10207-010-0115-0

  157. G. Bloom, B. Narahari, R. Simha, OS support for detecting Trojan circuit attacks in Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2009(HOST 2009) (2009), pp. 100–103, http://dx.doi.org/10.1109/HST.2009.5224959

  158. R. Chakraborty, F. Wolff, S. Paul, C. Papachristou, S. Bhunia. MERO: A statistical approach for hardware Trojan detection, in Cryptographic Hardware and Embedded Systems—CHES 2009, vol. 5747 of Lecture Notes in Computer Science, ed. C. Clavier, K. Gaj, ed. (Springer, Berlin, Heidelberg, 2009), pp. 396–410, https://doi.org/10.1007/978-3-642-04138-9_28

  159. R.S. Chakraborty, S. Paul, S. Bhunia. On-demand transparency for improving hardware Trojan detectability, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2008. HOST 2008 (Jun. 2008), pp. 48–50, https://doi.org/10.1109/hst.2008.4559048

  160. Z. Chen, X. Guo, A. Nagesh, M. Reddy, A. Maiti, Hardware Trojan Designs on BASYS FPGA Board (2008). http://filebox.vt.edu/users/xuguo/homepage/publications/csaw08.pdf

  161. DARPA, Trust in Integrated circuits (TIC) (Mar 2007), http://wwwdarpa.mil/MTO/solicitations/baa07-24/index.html

  162. A. Das, G. Memik, J. Zambreno, A. Choudhary, Detecting/preventing information leakage on the memory bus due to malicious hardware, in Design, Automation & Test in Europe Conference & Exhibition (DATE) (Mar. 2010), pp. 861–866, http://portal.acm.org/citation.cfm?id=1871135

  163. Defense Science Board, Department of Defense, U.S.A. High Performance Microchip supply. http://www.cra.org/govaffairs/images/2005-02-HPMS_Report_Final.pdf

  164. D. Du, S. Narasimhan, R. Chakraborty, S. Bhunia, Self-referencing: a scalable side-channel approach for hardware Trojan detection, in Stefan Mangard and Fran cois-Xavier Standaert, editors, Cryptographic Hardware and Embedded Systems, CHES 2010, volume 6225 of Lecture Notes in Computer Science (Springer, Berlin, Heidelberg, 2010), pp. 173–187, http://dx.doi.org/10.1007/978-3-642-15031-9_12

  165. S. Jha, S.K. Jha, Randomization based probabilistic approach to detect Trojan circuits, in High Assurance Systems Engineering Symposium, 2008. HASE 2008. 11th IEEE (2008), pp. 117–124. https://doi.org/10.1109/hase.2008.37

  166. Y. Jin, Y. Makris, Hardware Trojans in wireless cryptographic ICs. Des. Test Comput. IEEE 27(1), 26–35 (Jan. 2010). ISSN 0740-7475. https://doi.org/10.1109/mdt.2010.21

  167. C.H. Kim, J.-J. Quisquater, Faults, injection methods and fault attacks. IEEE Des. Test Comput. 24(6), 544–545 (2007). https://doi.org/10.1109/mdt.2007.186

  168. L.-W. Kim, J.D. Villasenor, C.K. Koc, A Trojan-resistant system-on-chip bus architecture, in Military Communications Conference, 2009. MILCOM 2009. IEEE (2009), pp. 1–6 https://doi.org/10.1109/milcom.2009.5379966

  169. S.T. King, J. Tucek, A. Cozzie, C. Grier, W Jiang, Y Zhou, Designing and implementing malicious hardware, in LEET 2008: Proceedings of the 1st Usenix Workshop on Large-Scale Exploits and Emergent Threats (USENIX Association, Berkeley, CA, USA, 2008), pp. 1–8, http://portal.acm.org/citation.cfm?id=1387709.1387714

  170. F. Koushanfar, A. Mirhoseini, A unifted framework for multimodal submodular integrated circuits Trojan detection. 6 (1), 162–174 (2011), https://doi.org/10.1109/tifs.2010.2096811

  171. F. Koushanfar, A. Mirhoseini, Y. Alkabani, A unifted submodular framework for multimodal IC Trojan detection, in Information Hiding, volume 6387 of Lecture Notes in Computer Science, ed. by R.B Ohme, P. Fong, R. Safavi-Naini (Springer, Berlin, Heidelberg, 2010), pp. 17–32, http://dx.doi.org/10.1007/978-3-642- 16435-4_2

  172. C. Lamech, R. Rad, M. Tehrani, J. Plusquellic, An experimental analysis of power and delay signal-to-noise requirements for detecting trojans and methods for achieving the required detection sensitivities. (99) (2011), https://doi.org/10.1109/tifs.2011.2136339. Early Access

  173. J. Li, J. Lach, At-speed delay characterization for IC authentication and Trojan horse detection, in Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008 (HOST 2008) (2008), pp. 8–14, http://dx.doi.org/10.1109/HST.2008.4559038

  174. L. Lin, M. Kasper, T. Gneysu, C. Paar, W Burleson, Trojan side-channels: lightweight hardware trojans through side-channel engineering, in Christophe Clavier and Kris Gaj, editors, Cryptographic Hardware and Embedded Systems—CHES 2009, volume 5747 of Lecture Notes in Computer Science (Springer, Berlin, Heidelberg, 2009), pp. 382–395, http://dx.doi.org/10.1007/978-3-642-04138-9_27

  175. D. Mclntyre, F. Wolff, C. Papachristou, S. Bhunia, D. Weyer, Dynamic evaluation of hardware trust, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2009. HOST 2009 (2009), pp. 108–111. https://doi.org/10.1109/hst.2009.5224990

  176. S. Narasimhan, D. Du, R.S. Chakraborty, S. Paul, F. Wolff, C. Papachristou, K. Roy and S. Bhunia. Multiple-parameter side-channel analysis: a non-invasive hardware Trojan detection approach, in 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST) (2010), pp. 13–18, https://doi.org/10.1109/hst.2010.5513122

  177. M. Nelson, A. Nahapetian, F. Koushanfar, M. Potkonjak, SVD-based ghost circuitry detection, in Information Hiding, volume 5806 of Lecture Notes in Computer Science, ed. by S. Katzenbeisser, A.-R. Sadeghi (Springer, Berlin, Heidelberg, 2009), pp. 221–234, http://dx.doi.org/10.1007/978-3-642-04431-1_16

  178. M. Potkonjak, A. Nahapetian, M. Nelson, T. Massey. Hardware Trojan horse detection using gate-level characterization, in DAC 2009: Proceedings of the 46th Annual Design Automation Conference (ACM, New York, NY, USA, 2009), pp. 688–693. ISBN 978-160558-497-3. doi:http://doi.acm.org/10.1145/1629911.1630091

  179. R. Rad, J. Plusquellic, M. Tehranipoor, Sensitivity analysis to hardware Trojans using power supply transient signals, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2008. HOST 2008 (Jun. 2008), pp. 3–7, https://doi.org/10.1109/hst.2008.4559037

  180. R. Rad, J. Plusquellic, M. Tehranipoor, A sensitivity analysis of power signal methods for detecting hardware Trojans under real process and environmental conditions. IEEE Trans. Very Large Scale Integr. VLSI Syst. 18(12), 1735–1744 (2010). ISSN 1063-8210, https://doi.org/10.1109/tvlsi.2009.2029117

  181. R.M. Rad, X. Wang, M. Tehranipoor and J. Plusquellic. Power supply signal calibration techniques for improving detection resolution to hardware Trojans, in IEEE/ACM International Conference on Computer-Aided Design, 2008. ICCAD 2008 (2008), pp. 632–639. https://doi.org/10.1109/iccad.2008.4681643

  182. H. Salmani, M. Tehranipoor, J. Plusquellic, New design strategy for improving hardware Trojan detection and reducing Trojan activation time, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2009. HOST 2009 (2009), pp. 66–73. https://doi.org/10.1109/HST.2009.5224968

  183. H. Salmani, M. Tehranipoor, J. Plusquellic, A layout-aware approach for improving localized switching to detect hardware Trojans in integrated circuits, in Proceedings of the IEEE International Information Forensics and Security (WIFS) Workshop (2010), pp. 1–6, https://doi.org/10.1109/WIFS.2010.5711438

  184. H. Salmani, M. Tehranipoor, J. Plusquellic, A novel technique for improving hardware Trojan detection and reducing Trojan activation time. (99) (2011), https://doi.org/10.1109/TVLSI.2010.2093547. Early Access

  185. A. Waksman, S. Sethumadhavan, Tamper evident microprocessors, in SP 2010 Proceedings of the 2010 IEEE Symposium on Security and Privacy (May 2010), pp. 173–188, https://doi.org/10.1109/sp.2010.19

  186. A. Waksman, S. Sethumadhavan, Silencing hardware backdoors, in Proceedings of the 2010 IEEE Symposium on Security and Privacy (SP) (2011), pp. 49–63, https://doi.org/10.1109/sp.2011.27, http://www.cs.columbia.edu/~simha/preprint_oakland11.pdf

  187. X. Wang, H. Salmani, M. Tehranipoor, J. Plusquellic, Hardware Trojan detection and isolation using current integration and localized current analysis, in IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, 2008. DFTVS 2008 (2008), pp. 87–95. https://doi.org/10.1109/dft.2008.61

  188. S. Wei, M. Potkonjak, Scalable segmentation-based malicious circuitry detection and diagnosis, pp. 483–486 (2010), https://doi.org/10.1109/iccad.2010.5653770

  189. S. Wei, S. Meguerdichian, M. Potkonjak, Gate-level characterization: Foundations and hardware security applications, in Proceedings of the 47th ACM/IEEE Design Automation Conference (DAC) (2010), pp. 222–227, http://ieeexplore.ieee.org/ielx5/5510861/5522347/05522644.pdf?tp=&arnumber=5522644&isnumber=5522347

  190. F. Wolff, C. Papachristou, S. Bhunia, R.S. Chakraborty, Towards Trojan-free trusted ICs: problem analysis and detection scheme, in Design, Automation and Test in Europe, 2008. DATE 2008 (Mar. 2008), pp. 1362–1365, https://doi.org/10.1109/DATE.2008.4484928

  191. X. Zhang, M. Tehranipoor. RON: An on-chip ring oscillator network for hardware Trojan detection, in Proceedings of the Design, Automation & Test in Europe Conf. & Exhibition (DATE) (2011), pp. 1–6. http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5763260

  192. S.S. Ali, R.S. Chakraborty, D. Mukhopadhyay, S. Bhunia, Multi-level attacks: an emerging security concern for cryptographic hardware, Proceedings of the Design, Automation & Test in Europe Conference. & Exhibition (DATE), pp. 1–4 (2011). http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5763307

  193. M. Banga, M.S. Hsiao, A region based approach for the identification of hardware Trojans, in IEEE International Workshop on Hardware-Oriented Security and Trust (HOST), 2008 (Jun. 2008), pp. 40–47, https://doi.org/10.1109/hst.2008.4559047

  194. R.J. Anderson, Security Engineering: Attuide to Building Dependable Distributed Systems, 1st edn (Wiley, New York, NY, USA, 2001), ISBN 0471389226. http://www.cl.cam.ac.uk/~rja14/Papers/SE-14.pdf

  195. S. Adee. The hunt for the kill switch. Spectrum IEEE 45(5), 34–39, May 2008. ISSN 0018-9235. https://doi.org/10.1109/mspec.2008.4505310

  196. Digilent. Basys system board (2008)

    Google Scholar 

  197. A. Oliveira, Techniques for the creation of digital watermarks in sequential circuit designs, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (2001), pp. 1101–1117

    Google Scholar 

  198. S. Adee, The hunt for the kill switch. IEEE Spectrum 45 (May, 2008)

    Google Scholar 

  199. Defense Science Board. Task force on high performance microchip supply. 200502HPMSReportFinal.pdf (2005)

    Google Scholar 

  200. DARPA. TRUST in integrated circuits (TIC) (2007)

    Google Scholar 

  201. K. Lofstrom, W.R. Daasch, D. Taylor, IC identification circuit using device mismatch, in Proceedings of International Solid-State Circuits Conference (ISSCC) (2000), pp. 372–373

    Google Scholar 

  202. A. Oliveira, Robust techniques for watermarking sequential circuit designs, in Proceedings of the Design Automation Conference (DAC) (1999), pp. 837–842

    Google Scholar 

  203. J. Lach, W. Mangione-Smith, M. Potkonjak, FPGA fingerprinting techniques for protecting intellectual property, Proceedings of the Custom Integrated Circuits Conference (CICC) (1998), pp. 299–302

    Google Scholar 

  204. J. Lee, D. Lim, B. Gassend., G.E. Suh, M. van Dijk, S. Devadas, A technique to build a secret key in integrated circuits for 14 A. Baumgarten et al. identification and authentication applications, in Proceedings of VLSI Circuits (2004), pp. 176–179

    Google Scholar 

  205. Lee J., Lim D., Gassend B., Suh G.E., van Dijk M., Devadas S. A technique to build a secret key in integrated circuits for

    Google Scholar 

  206. G. Qu, M. Potkonjak, Intellectual Property Protection in VLSI Designs: Theory and Practice (Kluwer Academic Publishers, Boston, MA, 2003)

    Google Scholar 

  207. J.A. Roy, F. Koushanfar, I.L. Markov, EPIC: ending piracy of integrated circuits, in Proceedings of Design, Automation and Test in Europe (DATE) (2008), pp. 1069–1074, https://doi.org/10.1109/date.2008.4484823

  208. Y. Su, J. Holleman, B. Otis, A 1.6j/bit stable chip ID generating circuit using process variations, in Proceedings of International Solid-State Circuits Conference (ISSCC) (2007), pp. 406–407

    Google Scholar 

  209. Y. Alkabani, F. Koushanfar, Active hardware metering for intellectual property protection and security, in Proceedings of USENIX Security Symposium (2007), pp. 1–16

    Google Scholar 

  210. Y. Alkabani, F. Koushanfar, M. Potkonjak, Remote activation of ICs for piracy prevention and digital right management, in Proceedings of International Conference on Computer Aided Design (ICCAD) (2007), pp. 674–677

    Google Scholar 

  211. J. Lach, W. Mangione-Smith, M. Potkonjak, Fingerprinting digital circuits on programmable hardware, in Proceedings of the International Workshop on Information Hiding (IH) (1998), pp. 16–31

    Google Scholar 

  212. J. Lach, W. Mangione-Smith, M. Potkonjak, FPGA fingerprinting techniques for protecting intellectual property, in Proceedings of the Custom Integrated Circuits Conference (CICC) (1998), pp. 299–302

    Google Scholar 

  213. S. Maeda, H. Kuriyama, T. Ipposhi, S. Maegawa, Y. Inoue, M. Inuishi, N. Kotani, T. Nishimura, An artificial fingerprint device (AFD): a study of identification number applications utilizing characteristics variation of polycrystalline silicon TFTs, in IEEE Transactions on Electron Devices (2003), pp. 1451–1458

    Google Scholar 

  214. NSA, Trusted access program office (2009)

    Google Scholar 

  215. Suh G.E., Devadas S. Physical unclonable functions for device authentication and secret key generation. In: Proceedings of Design Automation Conference (DAC). P 9-14 (2007)

    Google Scholar 

  216. Abdel-Hamid A., Tahar S. Fragile IP watermarking techniques, in Proceedings of the Conference on Adaptive Hardware and Systems (AHS) (2008), pp. 513–519

    Google Scholar 

  217. A. Abdel-Hamid, S. Tahar, E.M. Aboulhamid, A public-key watermarking technique for IP designs, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (2005), pp. 330–335

    Google Scholar 

  218. A. Abdel-Hamid, S. Tahar, E.M. Aboulhamid, Finite state machine IP watermarking: a tutorial, in Proceedings of the Conference on Adaptive Hardware and Systems (AHS) (2006), pp. 457–464

    Google Scholar 

  219. A. Caldwell, H.-J. Choi, A. Kahng, S. Mantik, M. Potkonjak, G. Qu, J. Wong, Effective iterative techniques for fingerprinting design IP, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (2004), pp. 208–215

    Google Scholar 

  220. A. Kahng, J. Lach, W. Mangione-Smith, S. Mantik, I. Markov, M. Potkonjak, P. Tucker, H. Wang, G. Wolfe, Constraint-based watermarking techniques for design IP protection, in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (2001), pp. 1236–1252

    Google Scholar 

  221. J. Di, Trustable recognition of undesired threats in hardware (TRUTH) analysis tool, for analysis of pre-synthesis behavioral and structural VHDL designs. http://comp.uark.edu/~jdi/truth.html (2009). Accessed 06/2009

  222. D. Hwan, K. Tiri, A. Hodjat, B.-C. Lai, S. Yang, P. Schaumont, I. Verbauwhede, AES-based security coprocessor IC in 0.18-pm CMOS with resistance to differential power analysis side-channel attacks, in IEEE Transactions on Solid-State Circuits (2006), pp. 781–792

    Google Scholar 

  223. Y. Jin, N. Kupp, Y. Makris, Experiences in hardware Trojan design and implementation, in Proceedings of the International Workshop on Hardware-Oriented Security and Trust (HOST) (2009), pp. 50–57

    Google Scholar 

  224. S. Malik, Detecting hardware Trojans: a tale of two techniques. FMCAD (2015)

    Google Scholar 

  225. E. Hidalgo, O. Abdelmalek, D. Hely, V Beroulle, Grenoble Institute of Techology, University of Seville «European Cooperation in Science and Technology»

    Google Scholar 

  226. M. Todd, Hardware emulation of a secure passive RFID sensor system (2010)

    Google Scholar 

  227. F. Wolff, C. Papachristou, S. Bhunia, R.S. Chakraborty, Towards Trojan-free tusted ICs: problem analysis and detection scheme (2008)

    Google Scholar 

  228. H. Salmani, M. Tehranipoor, New Design strategy for improving hardware Trojan detection and reducing Trojan activation time (2009)

    Google Scholar 

  229. X. Wang, S. Narasimhan, A. Krishna, T. Mal-Sarkar, S. Bhunia, Sequencial hardware Trojan: side-channel aware design and placement (2011)

    Google Scholar 

  230. M. Beaumont, B. Hopkins and T. Newby. Hardware Trojans—prevention, detection, countermeasures (a literature review) (2011)

    Google Scholar 

  231. EPCglobal, EPC radio frequency idenrity protocols classe-1 generation-2 UHF RFID, protocol for communications at 860 MHz 960 MHz, version 1.0.9, 2004

    Google Scholar 

  232. O. Abdelmalek, D. Hely, V Beroulle. EPC Class 1 GEN 2 UHF RFID tag emulator for robustness evaluation and improvement, in Proceedings of IEEE design and Test of Integrated System (2013)

    Google Scholar 

  233. Y. Jin, N. Kupp, Y. Makris, Experiences in hardware Trojan design and implementation (2009)

    Google Scholar 

  234. R.M. Rad et al., Power supply signal calibration techniques for improving detection resolution to hardware Trojans, in Proceedings of the IEEE/ACM Int’l Conference on Computer-Aided Design (ICCAD 08) (IEEE CS Press, 2008), pp. 632–639

    Google Scholar 

  235. F. Wolff et al., Towards Trojan-free trusted ICs: problem analysis and detection scheme, in Proceedings of the IEEE Design Automation and Test in Europe (DATE 08) (IEEE CS Press, 2008), pp. 1362–1365

    Google Scholar 

  236. Y. Jin, Y Makris, Hardware Trojan detection using path delay fingerprint, in Proceedings of the IEEE Int’l Workshop Hardware-Oriented Security and Trust (IEEE CS Press, 2008), pp. 51–57

    Google Scholar 

  237. Y. Jin, Y. Makris, Yale University, IEEE Des. Test Comput., 26–34(Jan./Feb. 2010)

    Google Scholar 

  238. R. Rad, J. Plusquellic, M. Tehranipoor, Sensitivity analysis to hardware Trojans using power supply transient signals, in Proceedings of the IEEE Int’l Workshop Hardware-Oriented Security and Trust (IEEE CS Press, 2008), pp. 3–7

    Google Scholar 

  239. Y. Jin, N. Kupp, Y Makris, Experiences in hardware Trojan design and implementation, in Proceedings of the IEEE Int’l Workshop Hardware-Oriented Security and Trust (IEEE CS Press, 2009), pp. 50–57

    Google Scholar 

  240. S. Adee, The Hunt for the Kill Switch. IEEE Spectr. 45(5), 34–39 (2008)

    Article  Google Scholar 

  241. T. Yuan et al., A fully integrated CMOS transmitter for ultra-wideband applications, in Proceedings of the IEEE Radio Frequency Integrated Circuits Symposium (IEEE Press, 2007), pp. 39–42

    Google Scholar 

  242. H.G. Stratigopoulos, Y. Makris, Error moderation in low-cost machine-learning- based analog/RF testing. IEEE Trans. Comput.-Aided Des. Integr.. Circ. Syst. 27(2), 339–351 (2008)

    Google Scholar 

  243. A. Candore, O. Kocabas, F. Koushanfar, Robust stable radiometric fingerprinting for frequency reconfigurable devices, in Proceedings of the IEEE Int’l Workshop Hardware-Oriented Security and Trust (IEEE CS Press, 2009), pp. 43–49

    Google Scholar 

  244. X. Wang, Hardware Trojan attacks: hardware Trojan attacks: threat analysis and low-cost counter measures through golden-free detection and secure design (January 2014)

    Google Scholar 

  245. Y. Jin, Y. Makris, Hardware Trojans in Wireless Cryptographic ICs. IEEE Des. Test Comput. 27(1), 26–35 (2010)

    Article  Google Scholar 

  246. I. Jin, Y. Makris, Hardware Trojan detection using path delay fingerprint. HOST (2008)

    Google Scholar 

  247. S. Narasimhan, X. Wang, D. Du, R.S. Chakraborty, S. Bhunia, TeSR: a robust temporal self-referencing approach for hardware Trojan detection, in Proceedings of the 2011 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST 2011) (2011), pp. 71–74, https://doi.org/10.1109/hst.2011.5954999

  248. Cyber Security Awareness Week ESC, http://www.poly.edu/csaw-embedded

  249. S.T. King et al., Designing and implementing malicious hardware, in USENIX. Workshop on LEET (2008)

    Google Scholar 

  250. R.R. Rivest, The RC5 Encryption Algorithm. FSE (1994)

    Google Scholar 

  251. X. Wang, S. Narasimhan, A. Krishna, T. Mal-Sarkar, S. Bhunia, Sequential hardware Trojans: side-channel aware design and placement, in IEEE 29th International Conference on Computer Design (ICCD) (2011)

    Google Scholar 

  252. X. Wang, T. Mal-Sarkar, A. Krishna, S. Narasimhan, S. Bhunia, Software exploitable hardware Trojans in embedded processor, in IEEE. International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (2012)

    Google Scholar 

  253. X. Zhang et al., RON: an on-chip ring oscillator network for hardware Trojan detection, DATE (2011)

    Google Scholar 

  254. I. Jin et al., Hardware Trojan detection using path delay fingerprint. HOST (2008)

    Google Scholar 

  255. J. Rajendran, V. Jyothi, O. Sinanoglu, R. Karri, Design and analysis of ring oscillator based design-for-trust technique, in Proceedings of the 2011 IEEE 29th VLSI Test Symposium (VTS 2011) (2011), pp. 105–110. http://dx.doi.org/10.1109/VTS.2011.5783766

  256. C. Lavin et al., Using hard macros to reduce FPGA compilation time, FPL (2010)

    Google Scholar 

  257. E.J. Marinissen et al., The role of test protocols in automated test generation for embedded-core-based system ICs, J. Electr. Test.: Theory Appl. 18(4–5) (2002)

    Google Scholar 

  258. A. Maiti, J. Casarona, L. McHale, P. Schaumont, Large scale characterization of RO-PUF, in Proceedings of the IEEE. International Workshop on Hardware-Oriented Security and Trust (HOST) (2010)

    Google Scholar 

  259. K. Xiao, D. Forte, Y. Jin, R. Karri, S. Bhunia, M. Tehranipoor, Hardware Trojans: lessons learned after one decade of research. ACM Trans. Des. Autom. Electron. Syst. 22(1). Article 6 (May 2016), 23 p., http://dx.doi.org/10.1145/2906147

  260. M. Tehranipoor, C. Wang, Introduction to Hardware Security and Trust (Springer, 2002)

    Google Scholar 

  261. C Dunbar, G. Qu, Designing trusted embedded systems from finite state machines. ACM Trans. Embedded Comput. Syst. 13, 5s, Article 153 (Oct. 2014), 20 p. http://dx.doi.org/10.1145/2638555

  262. Y. Shiyanovskii, F. Wolff, A. Rajendran, C. Papachristou, D. Weyer, W Clay, Process reliability based Trojans through NBTI and HCI effects, in Proceedings of the 2010 NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2010) (2010), pp. 215–222, http://dx.doi.org/10.1109/AHS.2010.5546257

  263. X. Zhang, K. Xiao, M. Tehranipoor, J. Rajendran, R. Karri, A study on the effectiveness of Trojan detection techniques using a red team blue team approach, in Proceedings of the 2013 IEEE 31st VLSI Test Symposium (VTS 2013) (2013), pp. 1–3, https://doi.org/10.1109/vts.2013.6548922

  264. B. Cha, S.K. Gupta, A resizing method to minimize effects of hardware Trojans. In Proceedings of the 2014 IEEE 23rd Asian Test Symposium (ATS 2014) (2014), pp. 192–199, http://dx.doi.org/10.1109/ATS.2014.44

  265. G. Tsoutsos, M. Maniatakos, Fabrication attacks: Zero-overhead malicious modifications enabling modern microprocessor privilege escalation. IEEE Trans. Emer. Topics Comput. 2(1), 81–93 (2014), http://dx.doi.org/10.1109/TETC.2013.2287186

  266. H. Salmani, M. Tehranipoor, R. Karri, On design vulnerability analysis and trust benchmarks development. In Proceedings of the 2013 IEEE 31st International Conference on Computer Design (ICCD 2013) (2013), pp. 471–474, http://dx.doi.org/10.1109/ICCD.2013.6657085

  267. C. Bao, D. Forte, A. Srivastava, On application of one-class SVM to reverse engineering-based hard-ware Trojan detection in Proceedings of the 2014 15th International Symposium on Quality Electronic Design (ISQED 2014) (2014), pp. 47–54, https://doi.org/10.1109/isqed.2014.6783305

  268. S. Bhunia, M.S. Hsiao, M. Banga, S. Narasimhan, Hardware Trojan attacks: threat analysis and countermeasures. Proc. IEEE 102(8) (Aug. 2014), 1229–1247, https://doi.org/10.1109/jproc.2014.2334493

  269. X. Wang, M. Tehranipoor, J. Plusquellic, Detecting malicious inclusions in secure hardware: challenges and solutions, in Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008 (HOST 2008) (2008), pp. 15–19, https://doi.org/10.1109/hst.2008.4559039

  270. Y. Jin and Y Makris. Hardware Trojan Detection using Path Delay Fingerprint, in IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (2008)

    Google Scholar 

  271. K. Xiao, X. Zhang, M. Tehranipoor, A clock sweeping technique for detecting hardware Trojans impacting circuits delay, in IEEE Design Test 30, 2 (April 2013 (2013)), pp. 26–34, https://doi.org/10.1109/mdat.2013.2249555

  272. J. Aarestad, D. Acharyya, R. Rad, J. Plusquellic, Detecting Trojans through leakage current analysis using multiple supply pad IDDQ. IEEE Trans. Inf. Forensics Secur. 5(4), 893–904 (Dec. 2010), http://dx.doi.org/10.1109/TIFS.2010.2061228

  273. D. Forte, C. Bao, A. Srivastava, Temperature tracking: an innovative runtime approach for hardware Trojan detection, in Proceedings of the 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD 2013) ( 2013), pp.532–539, https://doi.org/10.1109/iccad.2013.6691167

  274. F. Stellari, P. Song, A.J. Weger, J. Culp, A. Herbert, D. Pfeiffer, Verification of untrusted chips using trusted layout and emission measurements, in Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST 2014) (2014), pp.19–24, https://doi.org/10.1109/hst.2014.6855562

  275. B. Zhou, R. Adato, M. Zangeneh, T. Yang, A. Uyar, B. Goldberg, S. Unlu, A. Joshi, Detecting hardware Trojans using backside optical imaging of embedded watermarks, in Proceedings of the 201552nd ACM/EDAC/IEEEDesign Automation Conference (DAC 2015) (2015), pp. 1–6, http://dx.doi.org/10.1145/2744769.2744822

  276. H. Salmani, M. Tehranipoor, Analyzing circuit vulnerability to hardware Trojan insertion at the behavioral level, Proceedings of the 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2013) (2013), pp. 190–195, http://dx.doi.org/10.1109/DFT.2013.6653605

  277. A. Waksman, M. Suozzo, S. Sethumadhavan, FANCI: Identification of stealthy malicious logic using Boolean functional analysis, in Proceedings of the 2013 ACMSIGSAC Conference on Computer & Communications Security (CCS 2013) (ACM, New York, NY) (2013), pp. 697–708, https://doi.org/10.1145/2508859.2516654

  278. M. Oya, Youhua Shi, M. Yanagisawa, N. Togawa, A score-based classification method for identifying hardware-Trojans at gate-level netlists, in Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE 2015) (2015), pp. 465–470

    Google Scholar 

  279. J. Rajendran, V. Vedula, R. Karri, Detecting malicious modifications of data in third-party intellectual property cores, in Proceedings of the 52nd Annual Design Automation Conference (DAC 2015) (ACM, New York, NY, 2015), Article 112, 6 p., https://doi.org/10.1145/2744769.2744823

  280. M. Rathmair, F. Schupfer, C. Krieg, Applied formal methods for hardware Trojan detection, in Proceedings of the 2014IEEE International Symposium on Circuits and Systems (ISCAS 2014) (2014), pp. 169–172, http://dx.doi.org/10.1109/ISCAS.2014.6865092

  281. E. Love, Y. Jin, Y. Makris, Enhancing security via provably trustworthy hardware intellectual property, in Proceedings of the 2011 IEEE International Symposium on Hardware-OrientedSecurity and Trust (HOST 2011) (2011), pp. 12–17, http://dx.doi.org/10.1109/HST.2011.5954988

  282. H. Salmani et al., A novel technique for improving hardware trojan detection and reducing trojan activation time. IEEE Trans. Very Large Scale Integr. VLSI Syst. 20(1) (Jan 2012)

    Google Scholar 

  283. B. Zhou, W. Zhang, S. Thambipillai, J.K.J. Teo, A low cost acceleration method for hardware Trojan detection based on fan-out cone analysis, in Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES + ISSS 2014) (2014), pp. 1–10, https://doi.org/10.1145/2656075.2656077

  284. H. Salmani, M. Tehranipoor, Layout-aware switching activity localization to enhance hardware Trojan detection. IEEE Trans. Inf. Forensics Secu. 7(1) (2012), 76–87, http://dx.doi.org/10.1109/TIFS.2011.2164908

  285. A. Ramdas, S.M. Saeed, O. Sinanoglu, Slack removal for enhanced reliability and trust, in Proceedings of the 2014 9th IEEE International Conference on Design Technology of Integrated Systems in Nanoscale Era (DTIS 2014) (2014), pp. 1–4, http://dx.doi.org/10.1109/DTIS.2014.6850660

  286. X. Zhang, M. Tehranipoor, RON: An on-chip ring oscillator network for hardware Trojan detection, in Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE 2011), pp. 1–6 (2011b), http://dx.doi.org/10.1109/DATE.2011.5763260

  287. S. Narasimhan, W. Yueh, X. Wang, S. Mukhopadhyay, S. Bhunia, Improving IC security against Trojan attacks through integration of security monitors. IEEE Des. Test Comput. 29(5) (2012), 37–46, http://dx.doi.org/10.1109/MDT2012.2210183

  288. Y. Cao, C.-H. Chang, S. Chen, Cluster-based distributed active current timer for hardware Trojan detection, in Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS 2013) (2013), pp. 1010–1013, http://dx.doi.org/10.1109/ISCAS.2013.6572020

  289. B. Cha, S.K. Gupta, Efficient Trojan detection via calibration of process variations. In Proceedings of the 2012 IEEE21st Asian Test Symposium (ATS 2012) (2012), pp. 355–361, https://doi.org/10.1109/ats.2012.64

  290. С. Liu, J. Rajendran, C. Yang, R. Karri, Shielding heterogeneous MPSoCs from untrustworthy 3PIPs through security-driven task scheduling. IEEE Trans. Emer. Topics Comput. 2(4) (2014b), 461–472, http://dx.doi.org/10.1109/TETC.2014.2348182

  291. Y. Liu, K. Huang, Y. Makris, Hardware Trojan detection through golden chip- free statistical side-channel fingerprinting, in Proceedings of the 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC 2014) (2014a), pp. 1–6

    Google Scholar 

  292. J. Dubeuf, D. Hely, R. Karri, Run-time detection of hardware Trojans: The processor protection unit, in Proceedings of the 2013 18th IEEE European Test Symposium (ETS 2013) (2013), pp. 1–6, https://doi.org/10.1109/ets.2013.6569378

  293. Y. Jin, D. Sullivan, Real-time trust evaluation in integrated circuits, in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE 2014) (2014), pp. 1–6, https://doi.org/10.7873/date.2014.104

  294. Y. Jin, D. Maliuk, Y. Makris, Post-deployment trust evaluation in wireless cryptographic ICs, in Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE 2012) (2012), pp. 965–970, http://dx.doi.org/10.1109/DATE.2012.6176636

  295. B. Liu, B. Wang, Embedded reconfigurable logic for ASIC design obfuscation against supply chain attacks, in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE 2014) (2014), pp. 1–6, http://dx.doi.org/10.7873/DATE.2014.256

  296. B. Wendt, M. Potkonjak, Hardware obfuscation using PUF-based 1 ogic, in Proceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD 2014) (2014), pp. 270–271, http://dx.doi.org/10.1109/ICCAD.2014.7001362

  297. R.P Cocchi, J.P Baukus, L.W Chow, B.J. Wang, Circuit camouflage integration for hardware IP protection, in Proceedings of the 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC 2014) (2014), pp. 1–5. http://dx.doi.org/10.1145/2593069.2602554

  298. Y. Bi, P.-E. Gaillardon, X.S. Hu, M. Niemier, J.-S. Yuan, Y. Jin, Leveraging emerging technology for hardware security—case study on silicon nanowire FETs and graphene SymFETs, in Proceedings of the 2014IEEE 23rd Asian Test Symposium (ATS 2014) (2014), pp. 342–347, http://dx.doi.org/10.1109/ATS.2014.69

  299. K. Xiao, M. Tehranipoor, BISA: Built-in self-authentication for preventing hardware Trojan insertion, in Proceedings of the 2013 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST 2013), pp. 45–50 (2013), http://dx.doi.org/10.1109/HST.2013.6581564

  300. D. McIntyre, F. Wolff, C. Papachristou, S. Bhunia, Trustworthy computing in a multi-core system using distributed scheduling, in Proceedings of the 2010 IEEE 16th International On-Line Testing Symposium (IOLTS 2010) (2010), pp. 211–213, http://dx.doi.org/10.1109/IOLTS.2010.5560200

  301. O. Keren, I. Levin, M. Karpovsky, Duplication based one-to-many coding for Trojan HW detection, in Proceedings of the 2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 2010) (2010), pp. 160–166, https://doi.org/10.1109/DFT.2010.26

  302. T. Reece, D.B. Limbrick, WH. Robinson, Design comparison to identify malicious hard-ware in external intellectual property, in Proceedings of the 2011 IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications (TrustCom 2011) (2011), pp. 639–646, http://dx.doi.org/10.1109/TrustCom.2011.82

  303. K. Vaidyanathan, B.P. Das, E. Sumbul, R. Liu, L. Pileggi, Building trusted ICs using split fabrication, in Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST 2014) (2014), pp. 1–6, http://dx.doi.org/10.1109/HST.2014.6855559

  304. M. Jagasivamani, P Gadfort, M. Sika, M. Bajura, M. Fritze, Split-fabrication obfuscation: metrics and techniques, in Proceedings of the 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST 2014) (2014), pp. 7–12, https://doi.org/10.1109/hst.2014.6855560

  305. Y. Xie, C. Bao, A. Srivastava, Security-aware design flow for 2.5d IC technology, in Proceedings of the 5th International Workshop on Trustworthy Embedded Devices (Trust-ED 2015) (ACM, New York, NY) (2015), pp. 31–38. http://dx.doi.org/10.1145/2808414.2808420

  306. J. Valamehr, T. Sherwood, R. Kastner, D. Marangoni-Simonsen, T. Huffmire, C. Irvine and T. Levin,. A 3-d split manufacturing approach to trustworthy system development. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 32(4), 611–615 (2013), http://dx.doi.org/10.1109/TCAD.2012.2227257

  307. F. Imeson, A. Emtenan, S. Garg, M.V. Tripunitara, Securing computer hardware using 3D integrated circuit (IC) technology and split manufacturing for obfuscation. In Proceedings of the 22nd USENIX Conference on Security (SEC 2013) (USENIX Association, Berkeley, CA, 2013), pp. 495–510

    Google Scholar 

  308. K. Xiao, D. Forte, M.M. Tehranipoor, Efficient and secure split manufacturing via obfuscated built-in self-authentication, in Proceedings of the 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST 2015), pp. 14–19 (2015), https://doi.org/10.1109/hst.2015.7140229

  309. A. Chidley. 2014. Use COTS parts to cut costs in military and aerospace systems. Electr. Des. Mag. Retrieved from http://electronicdesign.com/components/use-cots-parts-cut-costs-military-and-aerospace-systems

  310. Cisco, Defense agencies meet readiness challenges with commercial off the shelf (COTS)-based systems (2005). Retrieved from http://wwwcisco.com/c/dam/en_us/solutions/industries/docs/gov/space_COTS_v2.pdf

  311. R. Koch, G.D. Rodosek, The role of COTS products for high security systems, in Proceedings of the 2012 4th International Conference on Cyber Conflict (CYCON 2012) 2012), pp. 1–14

    Google Scholar 

  312. M. Beaumont, B. Hopkins, T. Newby, SAFER PATH: Security architecture using fragmented execution and replication for protection against Trojaned hardware, in Proceedings of the Design, Automation Test in Europe Conference Exhibition (DATE 2012) (2012), pp. 1000–1005, http://dx.doi.org/10.1109/DATE.2012.6176642

  313. Cadence, 3D ICs with—design challenges and requirements (2011), http://www.europractice.stfc.ac.uk/vendors/cadence_3DIC_wp.pdf

  314. S.R. Hasan, S.F. Mossa, O.S. A. Elkeelany, F. Awwad, Tenacious hardware Trojans due to high temperature in middle tiers of 3-D ICs, in Proceedings of the 2015 IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS 2015) (2015), pp. 1–4, http://dx.doi.org/10.1109/MWSCAS.2015.7282148

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Anatoly Belous .

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Belous, A., Saladukha, V. (2020). Hardware Trojans in Microcircuits. In: Viruses, Hardware and Software Trojans. Springer, Cham. https://doi.org/10.1007/978-3-030-47218-4_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-47218-4_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-47217-7

  • Online ISBN: 978-3-030-47218-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics