Skip to main content

Harnessing Voltage margins for Balanced Energy and Performance

  • Chapter
  • First Online:
Computing at the EDGE

Abstract

During chip fabrication, process variations can affect transistor dimensions (length, width, oxide thickness, etc. [1]), which have a direct impact on the threshold voltage of a MOS device [2]. As technology scales, the percentage of these variations compared to the overall transistor size increases and raises major concerns for designers, who aim to improve energy efficiency. Devices variation during fabrication known as static variation and remains constant during the chip lifetime. On top of that, transistor aging and dynamic variation in supply voltage and temperature, caused by different workload interactions, is also of primary importance. Both static and dynamic variations lead microprocessor architects to apply conservative guard bands (operating voltage and frequency settings) to avoid timing failures and guarantee correct operation, even in the worst-case conditions excited by unknown workloads or the operating environment [3, 4]. However, these guard bands impede the power consumption. To bridge the gap between energy efficiency and performance improvements, several hardware and software techniques have been proposed, such as Dynamic Voltage and Frequency Scaling (DVFS) [5]. The premise of DVFS is that the microprocessor’s workloads as well as the cores’ activity vary. Voltage and frequency-scaling during epochs where peak performance is not required enables a DVFS-capable system to achieve average energy-efficiency gains without affecting peak-performance adversely. However, energy-efficiency gains are limited by the pessimistic guard bands.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The threshold voltage, commonly abbreviated as Vth, of a field-effect transistor (FET) is the minimum gate-to-source voltage VGS (th) that is needed to create a conducting path between the source and drain terminals. It is an important scaling factor to maintain power efficiency.

  2. 2.

    Our severity metric can be used above existing circuit-based techniques such as adaptive clocking. For instance, in the mechanism proposed in 0, adaptive-clocking can reduce the voltage at which SDCs occur. The frequency with which adaptation is deployed can be an input to our framework, thereby limiting the potential for performance degradation due to excessive deployment of adaptive-clocking induced frequency slowdown.

References

  1. F. Salehuddin, I. Ahmad, F.A. Hamid, A. Zaharim, A. Maheran, A. Hamid, P.S. Menon, H.A. Elgomati, B.Y. Majlis, Optimization of process parameter variation in 45nm p-channel MOSFET using L18 Orthogonal Array, In Proceedings of IEEE International Conference on Semiconductor Electronic (ICSE’12), 2012

    Google Scholar 

  2. W. Schemmert, G. Zimmer, Threshold-voltage sensitivity of ion-implanted m.o.s. transistors due to process variations. Electron. Lett. 10(9), 151 (1974)

    Article  Google Scholar 

  3. V.J. Reddi, S. Kanev, W. Kim, S. Campanoni, M.D. Smith, G.-Y. Wei, D. Brooks, Voltage smoothing: Characterizing and mitigating voltage noise in production processors via software-guided thread scheduling, in 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010

    Google Scholar 

  4. N. James, P. Restle, J. Friedrich, B. Huott, B. McCredie, Comparison of split-versus connected-core supplies in the POWER6 microprocessor, in 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, 2007

    Google Scholar 

  5. E. Le Sueur and G. Heiser, Dynamic voltage and frequency scaling: the laws of diminishing returns, In Proceedings of the 2010 International Conference on Power Aware Computing and Systems (HotPower’10). USENIX Association, Berkeley, CA, USA, 2010

    Google Scholar 

  6. C.R. Lefurgy, A.J. Drake, M.S. Floyd, M.S. Allen-Ware, B. Brock, J.A. Tierno, J.B. Carter, Active management of timing guardband to save energy in POWER7,” in Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture – MICRO-44’11, 2011

    Google Scholar 

  7. A. Bacha and R. Teodorescu, Dynamic reduction of voltage margins by leveraging on-chip ECC in Itanium II processors, in Proceedings of the 40th Annual International Symposium on Computer Architecture – ISCA’13, 2013

    Google Scholar 

  8. A. Bacha and R. Teodorescu, Using ECC Feedback to Guide Voltage Speculation in Low-Voltage Processors, in 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014

    Google Scholar 

  9. J. Leng, A. Buyuktosunoglu, R. Bertran, P. Bose, V.J. Reddi, Safe limits on voltage reduction efficiency in GPUs, in Proceedings of the 48th International Symposium on Microarchitecture – MICRO-48, 2015

    Google Scholar 

  10. The Linux Kernel Documentation (Parent Directory), Retrieved 2017 from https://www.kernel.org/doc/Documentation

  11. G. Papadimitriou, M. Kaliorakis, A. Chatzidimitriou, D. Gizopoulos, G. Favor, K. Sankaran, S. Das, A system-level voltage/frequency scaling characterization framework for multicore CPUs, in 13th IEEE Workshop on Silicon Errors in Logic – System Effects (SELSE’17), Boston, MA, USA, 2017

    Google Scholar 

  12. Y. Zu, C.R. Lefurgy, J. Leng, M. Halpern, M.S. Floyd, V.J. Reddi, Adaptive guardband scheduling to improve system-level efficiency of the POWER7+, in Proceedings of the 48th International Symposium on Microarchitecture – MICRO-48, 2015

    Google Scholar 

  13. G. Papadimitriou, M. Kaliorakis, A. Chatzidimitriou, C. Magdalinos, D. Gizopoulos, Voltage margins identification on commercial x86-64 multicore microprocessors, in 2017 IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS), 2017

    Google Scholar 

  14. X. Fan, W.-D. Weber, L.A. Barroso, Power provisioning for a warehouse-sized computer, in Proceedings of the 34th Annual International Symposium on Computer Architecture – ISCA’07, 2007. [Online]. Available: https://doi.org/10.1145/1250662.1250665

  15. L. Gwennap, Performance arms X-gene 3 for cloud, 2017. http://www.linleygroup.com/uploads/x-gene-3-for-cloud.pdf. Accessed: 25 July 2018

  16. P. Koutsovasilis, C. Antonopoulos, N. Bellas, S. Lalis, G. Papadimitriou, A. Chatzidimitriou, D. Gizopoulos, The impact of CPU voltage margins on power-constrained execution. IEEE Trans. Sustain. Comput. (2020). https://doi.org/10.1109/tsusc.2020.3045195

  17. N.H.E. Weste, D.M. Harris, CMOS VLSI Design: A Circuits and Systems Perspective, 4th edn. (Addison-Wesley, 2010)

    Google Scholar 

  18. K. Bernstein, K. Carrig, C. Durham, P. Hansen, D. Hogenmiller, E. Nowak, N. Roher, High Speed CMOS Design Styles. Springer US, 1999 [Online]. Available: https://doi.org/10.1007/978-1-4615-5573-5

  19. J.L. Henning, SPEC CPU2006 benchmark descriptions, ACM SIGARCH Comp. Arch. News. 34(4), 1–17 (Sep. 2006) [Online]. Available: https://doi.org/10.1145/1186736.1186737

  20. R. Rao, A. Srivastava, D. Blaauw, D. Sylvester, Statistical estimation of leakage current considering inter- and intra-die process variation, in Proceedings of the 2003 International Symposium on Low Power Electronics and Design - ISLPED’03, 2003 [Online]. Available: https://doi.org/10.1145/871506.871530

  21. R.J. Riedlinger, et al., A 32nm 3.1 billion transistor 12-wide-issue Itanium® processor for mission-critical servers, in 2011 IEEE International Solid-State Circuits Conference, 2011 [Online]. Available: https://doi.org/10.1109/ISSCC.2011.5746230

  22. NAS Parallel Benchmarks Suite, v3.3.1. https://www.nas.nasa.gov/publications/npb.html

  23. C. Bienia, S. Kumar, J.P. Singh, K. Li, The PARSEC benchmark suite, in Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques - PACT’08, 2008 [Online]. Available: https://doi.org/10.1145/1454115.1454128

  24. B. Lepers, V. Quema, A. Fedorova, Thread and memory placement on numa systems: Asymmetry matters, in Proceedings of the 2015 USENIX Conference on Usenix Annual Technical Conference, USENIX ATC’15, (Berkeley, CA, USA), pp. 277–289, USENIX Association, 2015

    Google Scholar 

  25. M. Curtis-Maury, Improving the efficiency of parallel applications on multithreaded and multicore systems. PhD thesis, Virginia Tech, 2008

    Google Scholar 

  26. Advanced Configuration and Power Interface (ACPI) Specification, 2014. https://www.uefi.org/sites/default/files/resources/ACPI_5_1release.pdf. Accessed 1 Aug 2018

  27. Perf: Linux Profiling with Performance Counters. Retrieved 2017 from https://perf.wiki.kernel.org/index.php/Main_Page

  28. C. Isci, G. Contreras, M. Martonosi, Live, runtime phase monitoring and prediction on real systems with application to dynamic power management, in 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’06), 2006 [Online]. Available: https://doi.org/10.1109/MICRO.2006.30

  29. Q. Wu et al., A dynamic compilation framework for controlling microprocessor energy and performance, in 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’05) [Online]. Available: https://doi.org/10.1109/MICRO.2005.7

  30. H. Sasaki, A. Buyuktosunoglu, A. Vega, P. Bose, Characterization and mitigation of power contention across multiprogrammed workloads, in 2016 IEEE International Symposium on Workload Characterization (IISWC), 2016 [Online]. Available: https://doi.org/10.1109/IISWC.2016.7581266

  31. D.M. Brooks et al., Power-aware microarchitecture: design and modeling challenges for next-generation microprocessors. IEEE Micro. 20(6), 26–44 (2000) [Online]. Available: https://doi.org/10.1109/40.888701

  32. W. Jia, K.A. Shaw and M. Martonosi, Stargazer: Automated regression-based gpu design space exploration, in 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2012 [Online]. Available: https://doi.org/10.1109/ISPASS.2012.6189201

  33. P.J. Joseph, K. Vaswani, M.J. Thazhuthaveetil, Construction and use of linear regression models for processor performance analysis, in The Twelfth International Symposium on High-Performance Computer Architecture, 2006. [Online]. Available: https://doi.org/10.1109/HPCA.2006.1598116

  34. B.C. Lee, D.M. Brooks, Accurate and efficient regression modeling for microarchitectural performance and power prediction, in Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems – ASPLOS-XII, 2006 [Online]. Available: https://doi.org/10.1145/1168857.1168881

  35. A. Biswas, N. Soundararajan, S.S. Mukherjee, S. Gurumurthi, Quantized AVF: A means of capturing vulnerability variations over small windows of time, in Silicon Errors in Logic – System Effects (SELSE), 2009.

    Google Scholar 

  36. S. Browne, C. Deane, G. Ho, P. Mucci, PAPI: A portable interface to hardware performance counters, in Proceedings of Department of Defense HPCMP Users Group Conference, June, 1999.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to George Papadimitriou .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Papadimitriou, G., Gizopoulos, D. (2022). Harnessing Voltage margins for Balanced Energy and Performance. In: Karakonstantis, G., Gillan, C.J. (eds) Computing at the EDGE. Springer, Cham. https://doi.org/10.1007/978-3-030-74536-3_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-74536-3_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-74535-6

  • Online ISBN: 978-3-030-74536-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics