Skip to main content

Nanofabrication by Photons

  • Chapter
  • First Online:
Nanofabrication
  • 4239 Accesses

Abstract

Since the planar processing for integrated circuits (IC) manufacturing started nearly half century ago, optical lithography, or often called photolithography, has become the convenient choice of making planar microstructures. In optical lithography, a mask or photomask, also called reticle, is imaged onto a flat substrate surface coated with a thin layer of polymer material called photoresist. The photon energy is focused into the photoresist, causing polymer chain scission or cross-linking. The mask pattern is then delineated into the photoresist after development.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 109.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Lin, B. 2006. The ending of optical lithography and the prospects of its successors. Microelectronic Engineering 83: 604–613.

    Article  Google Scholar 

  2. Lin, B.J. 2015. Making lithography work for the 7-nm node and beyond in overlay accuracy, resolution, defect, and cost. Microelectronic Engineering 143: 91–101.

    Article  Google Scholar 

  3. Attwood, D. 2000. Soft X-rays and extreme ultraviolet radiation: Principles and applications. Cambridge: Cambridge University Press.

    Google Scholar 

  4. Cui, Z. 2006. Micro-nanofabrication technologies and applications. New York: Springer.

    Google Scholar 

  5. Cui, Z. 2008. Nanofabrication, principles, capabilities and limits. New York: Springer.

    Google Scholar 

  6. Wong, A.K. 2005. Optical imaging in projection microlithography. Bellingham, WA: SPIE Press.

    Book  Google Scholar 

  7. Cui, Z. 1994. Computer simulation of optical lithography in VLSI fabrication. In Symposium of Chinese young scholars on optical application technologies.

    Google Scholar 

  8. Burnett, J.H., Z.H. Levine, and E.L. Shirley. 2001. Intrinsic birefringence in calcium fluoride and barium fluoride. Physical Review B 64: 241102.

    Article  Google Scholar 

  9. International Technology Roadmap for Semiconductors (ITRS). Available from: http://www.itrs.net/.

  10. Bakshi, V. 2006. EUV sources for lithography. Bellingham, WA: SPIE Press.

    Book  Google Scholar 

  11. Banine, V., and R. Moors. 2004. Plasma sources for EUV lithography exposure tools. Journal of Physics D: Applied Physics 37: 3207.

    Article  Google Scholar 

  12. Gargini, Paolo, et al. 2006. International EUV Initiative (IEUVI) overview: challenges and collaborative efforts. Future Fab International 21.

    Google Scholar 

  13. Jonkers, J. 2006. High power extreme ultra-violet (EUV) light sources for future lithography. Plasma Sources Science and Technology 15: S8–S16.

    Article  Google Scholar 

  14. Tomofuji, T., et al. 2004. Mo/Si multilayer (ML) mirror deposited with ion beam sputtering using Kr gas. In Third international EUVL symposium.

    Google Scholar 

  15. Stivers, A., et al. 2002. EUV mask defects strategy. In EUV mask development seminar.

    Google Scholar 

  16. Naulleau, P., et al. 2003. Lithographic characterization of the printability of programmed extreme ultraviolet substrate defects. Journal of Vacuum Science and Technology B21(4): 1286.

    Article  Google Scholar 

  17. Liang, T., et al. 2004. Demonstration of damage-free mask repair using electron beam-induced processes. Proceedings of SPIE 5446: 291–300.

    Article  Google Scholar 

  18. Hand, A. 2007. EUVL results show promise, but still many challenges. Semiconductor International, September.

    Google Scholar 

  19. Hishiro, Y. 2013. EUV resist materials and process for 16 nm half pitch and beyond. In EUV workshop.

    Google Scholar 

  20. Shiobara, E., et al. 2015. Analysis of EUV resist outgassing depended on the dosage. In Extreme ultraviolet (EUV) lithography VI, ed. Obert R. Wood and Eric M. Panning. Bellingham: SPIE.

    Google Scholar 

  21. Gwyn, C.W., and P.J. Silverman. 2003. EUV lithography: Transition from research to commercialization. Proceedings of SPIE 5130: 990–1004.

    Article  Google Scholar 

  22. Manner, D. 2014. EUV cost is $14bn and counting. Electronics Weekly, April.

    Google Scholar 

  23. Silverman, P.J. 2005. Extreme ultraviolet lithography: Overview and development status. Journal of Microlithography, Microfabrication, and Microsystems 4(1): 011006.

    Google Scholar 

  24. Smith, H.I., and F. Cerrina. 1997. X-ray lithography for ULSI manufacturing. Microlithography World 6(1): 10.

    Google Scholar 

  25. Wind, S., et al. 1995. Lithography and fabrication processes for sub-100 nm scale complementary metal–oxide semiconductor. Journal of Vacuum Science and Technology B13(6): 2688.

    Article  Google Scholar 

  26. Krasnoperva, A.A., et al. 1999. Imaging capability of proximity X-ray lithography at 70 nm ground rule. Proceedings of SPIE 3676: 24.

    Article  Google Scholar 

  27. Early, K., M.L. Schattenberg, and H.I. Smith. 1990. Absence of resolution degradation in X-ray lithography. Microelectronic Engineering 11: 317–321.

    Article  Google Scholar 

  28. Vladimirsky, Y., et al. 1999. Demagnification in proximity X-ray lithography and extensibility to 25 nm by optimizing Fresnel diffraction. Journal of Physics D: Applied Physics 32: L114.

    Article  Google Scholar 

  29. Available from: http://www.fourieroptics.org.uk/.

  30. Lin, B.J. 2002. The k3 coefficient in nonparaxial lambda/NA scaling equations for resolution, depth of focus, and immersion lithography. Journal of Microlithography, Microfabrication, and Microsystems 1: 7.

    Google Scholar 

  31. Fay, B. 2002. Advanced optical lithography development, from UV to EUV. Microelectronic Engineering 61–62: 11–24.

    Article  Google Scholar 

  32. Technology backgrounder: Immersion lithography. In IC knowledge. 2003.

    Google Scholar 

  33. Smith, B.W., and J. Cashmore. 2002. Challenges in high NA, polarization, and photoresists. Proceedings of SPIE 4691.

    Google Scholar 

  34. McCallum, M., G. Fuller, and S. Owa. 2006. From hyper NA to low NA. Microelectronic Engineering 83: 667–671.

    Article  Google Scholar 

  35. IBM, JSR: Immersion can extend past 32 nm node. Solid State Technology, 2006, February.

    Google Scholar 

  36. Zimmerman, Paul A., et al. 2009. High index 193 nm immersion lithography: The beginning or the end of the road. Proceedings of SPIE 7274.

    Google Scholar 

  37. Tounai, K., et al. 1992. Resolution improvement with annular illumination. Proceedings of SPIE 1674: 1753.

    Google Scholar 

  38. Shiraishi, N., et al. 1992. New image technique for 64M-DRAM. Proceedings of SPIE 1674: 1741.

    Google Scholar 

  39. Herkommer, A.M. 2010. Evolution of illumination systems in microlithography: A retrospective. Proceedings of SPIE 7652.

    Google Scholar 

  40. Levenson, M.D., N.S. Viswanathan, and R.A. Simpson. 1982. Improving resolution in photolithography with a phase-shifting mask. IEEE Transactions on Electron Devices ED-29: 1828.

    Article  Google Scholar 

  41. Pelka, J., and W. Henke. 1994. Simulation and optimization of phase-shift masks for printing of contact holes. Microelectronic Engineering 26: 1–26.

    Article  Google Scholar 

  42. Cui, Z., P.D. Prewett, and S. Johnson. 1995. Transmission and side-lobe effect in attenuated phase shift masks. Microelectronic Engineering 27: 259.

    Article  Google Scholar 

  43. Hand, A. 2007. Advanced masks help keep photolithography alive. Semiconductor International, September.

    Google Scholar 

  44. Van Den Broeke, D., et al. 2002. Complex 2D pattern lithography at λ/4 resolution using chromeless phase lithography (CPL). Proceedings of SPIE 4691: 196.

    Article  Google Scholar 

  45. Chen, J.F., et al. 2002. Manufacturing at k1 = 0.2 with chromeless phase lithography. Solid State Technology, June.

    Google Scholar 

  46. Levenson, M.D. 1994. Extending the lifetime of optical lithography by wave-front engineering. Japanese Journal of Applied Physics 33(12B): 6765.

    Article  Google Scholar 

  47. Cui, Z., et al. 2000. Optical proximity correction by grey tone photolithography. Microelectronic Engineering 53: 153.

    Article  Google Scholar 

  48. Mack, C.A. 2003. Scattering bars. Solid State Technology, November.

    Google Scholar 

  49. Otto, O.W., et al. 1994. Automatic optical proximity correction: A rule-based approach. Proceedings of SPIE 2197: 278.

    Article  Google Scholar 

  50. Rieger, M.L., and J.P. Stirniman. 1994. Using behavior modelling for proximity correction. Proceedings of SPIE 2197: 371.

    Article  Google Scholar 

  51. Synopsys Inc. Available from: http://www.synopsys.com.

  52. Gupta, P., et al. 2007. Performance-driven optical proximity correction for mask cost reduction. Journal of Micro/Nanolithography, MEMS, and MOEMS 6: 031005.

    Article  Google Scholar 

  53. Matsunawa, T., B. Yu, and D.Z. Pan. 2015. Optical proximity correction with hierarchical Bayes model. Proceedings of SPIE 9426.

    Google Scholar 

  54. Yu, P., S.X. Shi, and D.Z. Pan. 2007. True process variation aware optical proximity correction with variational lithography modeling and model calibration. Journal of Micro/Nanolithography, MEMS, and MOEMS 6: 031004.

    Article  Google Scholar 

  55. Information. Available from: http://www.luminescent.com/.

  56. Saleh, B.E.A., and S.I. Sayegh. 1981. Reductions of errors of microphotographic reproductions by optical corrections of original masks. Optical Engineering 20: 781–784.

    Article  Google Scholar 

  57. Melville, David, Alan E. Rosenbluth, and A. Waechter. 2011. Computational lithography: Exhausting the resolution limits of 193-nm projection lithography systems. Journal of Vacuum Science and Technology B29: 06FH04.

    Google Scholar 

  58. Pang, L., Y. Liu, and D. Abrams. 2006. Inverse lithography technology (ILT): What is the impact to the photomask industry? Proceedings of SPIE 6283.

    Google Scholar 

  59. Cui, Z., and J. Du. 2001. Investigation of OPC mask distortion effect. Proceedings of SPIE 4404.

    Google Scholar 

  60. Hector, S. 2005. Behind the photomask. In Global semiconductor forum.

    Google Scholar 

  61. Rai-Choudhury, P. (ed.). 1997. Handbook of microlithograhy, micromachining and microfabrication, vol. 1. Bellingham, WA: SPIE Press.

    Google Scholar 

  62. Zant, P.V. 2004. Microchip fabrication, 5th ed. New York: McGraw-Hill.

    Google Scholar 

  63. Ito, H., and C.G. Willson. 1984. Polymers in electronics. In Symposium series 242 (trans: Davidson, ed.), 11. Washington, DC: American Chemical Society.

    Google Scholar 

  64. Reichmanis, E., and L.F. Thompson. 1991. Chemistry and processes for deep-UV resists. Microelectronic Engineering 13: 3.

    Article  Google Scholar 

  65. Ruede, D., M. Ercken, and T. Borgers. 2001. The impact of airborne molecular bases on DUV photoresists. Solid State Technology, August.

    Google Scholar 

  66. Slezak, M. 2003. Multilayer resist strategies. Solid State Technology, July.

    Google Scholar 

  67. Nalamasu, O., et al. 1999. Single-layer resist design for 193 nm lithography. Solid State Technology, May.

    Google Scholar 

  68. Peters, L. 2005. Photoresists meet the 193 nm milestone. Semiconductor International, February.

    Google Scholar 

  69. Wei, Y., K. Petrillo, and P.A. Benson. 2006. Evaluating topcoat options for immersion litho resists. Semiconductor International, July.

    Google Scholar 

  70. Wu, S., et al. 2008. Non-topcoat resist design for immersion process at 32-nm node. Proceedings of SPIE 6923.

    Google Scholar 

  71. Advanced Surface Microscopy, Inc. Available from: http://www.asmicro.com/.

  72. Mack, C.A. 2007. The lithography expert: Line-edge roughness, Part 1. Microlithography World, February.

    Google Scholar 

  73. Cutler, C.A., J.F. Mackevich, and J. Li. 2003. Effect of polymer molecular weight on AFM polymer aggregate size and LER of EUV resists. Proceedings of SPIE 5037: 406.

    Article  Google Scholar 

  74. Gallatin, G.M. 2005. Resist blur and line edge roughness. Proceedings of SPIE 5754: 38.

    Article  Google Scholar 

  75. Braun, A.E. 2005. Line edge roughness is here to stay. Semiconductor International, February.

    Google Scholar 

  76. Lassig, S., and E. Hudson. 2002. Integrating dielectric etching with 193 nm resists. Solid State Technology, October.

    Google Scholar 

  77. Byung-Gook Kim, et al. 2000. Beneath the MEEF. Solid State Technology, August.

    Google Scholar 

  78. Su, B., et al. 2001. Analyzing and characterizing 193 nm resist shrinkage. Solid State Technology, May.

    Google Scholar 

  79. Czech, G., E. Richter, and O. Wunnicke, 2002. 193 nm resists: A status report (Part One). Future Fab International 12.

    Google Scholar 

  80. Tanaka, T., M. Morigami, and N. Atoda. 1993. Mechanism of resist pattern collapse during development process. Japanese Journal of Applied Physics 32: 6059.

    Article  Google Scholar 

  81. Cao, H.B., and P.F. Nealey. 2000. Comparison of resist collapse properties for deep ultraviolet and 193 nm resist platforms. Journal of Vacuum Science and Technology B18: 3303.

    Article  Google Scholar 

  82. Miller, M. 2005. Yield-aware designs target production issues. Solid State Technology, February.

    Google Scholar 

  83. Kobayashi, S., et al. 2007. Automated hot-spot fixing system applied to the metal layers of 65-nm logic devices. Journal of Micro/Nanolithography, MEMS, and MOEMS 6: 031010.

    Article  Google Scholar 

  84. Ho, J., et al. 2007. Lithography-simulation-based design for manufacturability rule development: An integrated circuit design house's approach. Journal of Micro/Nanolithography, MEMS, and MOEMS 6: 031008.

    Article  Google Scholar 

  85. Mansfield, S., G. Han, and L. Liebmann. 2007. Through-process modeling for design-for-manufacturability applications. Journal of Micro/Nanolithography, MEMS, and MOEMS 6: 031007.

    Article  Google Scholar 

  86. Clear Shape Technologies. Available from: http://www.clearshape.com/.

  87. Staud, W., et al. 2005. Subwavelength imaging at k1 < 0.3. Semiconductor International, September.

    Google Scholar 

  88. Zimmerman, P. 2009. Double patterning lithography: Double the trouble or double the fun? SPIE Newsroom.

    Google Scholar 

  89. ITRS 2006 update. Available from: http://www.itrs.net/.

  90. Lucas, Kevin, et al. 2012. Triple patterning in 10 nm node metal lithography. SPIE Newsroom, November.

    Google Scholar 

  91. Dorsch, J. 2015. Changes and challenges abound in multi-patterning lithography. Semiconductor Manufacturing and Design.

    Google Scholar 

  92. Hand, A. 2007. Double patterning wrings more from immersion lithography. Semiconductor International, February.

    Google Scholar 

  93. Blaikie, R.J., et al. 1999. Nanolithography using optical contact exposure in the evanescent near field. Microelectronic Engineering 46: 85.

    Article  Google Scholar 

  94. Alkaisi, M.M., et al. 1999. Sub-diffraction-limited patterning using evanescent near-field optical lithography. Applied Physics Letters 75(22): 3560.

    Article  Google Scholar 

  95. Barnes, W.L. 2006. Surface plasmon–polariton length scales: A route to sub-wavelength optics. Journal of Optics A: Pure and Applied Optics 8: S87–S93.

    Article  Google Scholar 

  96. Luo, X., and T. Ishihara. 2004. Surface plasmon resonant interference nanolithography technique. Applied Physics Letters 84(23): 4780.

    Article  Google Scholar 

  97. Goodberlet, J.G., and H. Kavak. 2002. Patterning Sub-50 nm features with near-field embedded-amplitude masks. Applied Physics Letters 81(7): 1315.

    Article  Google Scholar 

  98. Fang, N., et al. 2005. Sub-diffraction-limited optical imaging with a silver superlens. Science 308: 534.

    Article  Google Scholar 

  99. Blaikie, R.J., D.O.S. Melville, and M.M. Alkaisi. 2006. Super-resolution near-field lithography using planar silver lenses: A review of recent developments. Microelectronic Engineering 83: 723–729.

    Article  Google Scholar 

  100. Kobrin, B., et al. 2012. Rolling mask nanolithography: The pathway to large area and low cost nanofabrication. Proceedings of SPIE 8249.

    Google Scholar 

  101. http://www.rolith.com/.

  102. Talbot, W.H.F. 1836. Facts relating to optical science. Philosophical Magazine 9: 403–405.

    Google Scholar 

  103. Dunbar, L.A., et al. 2014. Talbot lithography as an alternative for contact lithography for submicron features. Proceedings of SPIE 8974.

    Google Scholar 

  104. Solak, H.H., C. Dais, and F. Clube. 2011. Displacement Talbot lithography: A new method for high-resolution patterning of large areas. Optics Express 19(11): 10686.

    Article  Google Scholar 

  105. Isoyan, A., et al. 2009. Talbot lithography: Self-imaging of complex structures. Journal of Vacuum Science and Technology B 27: 2931.

    Article  Google Scholar 

  106. Beesley, M.J., and J.G. Castledine. 1970. The use of photoresist as a holographic recording medium. Applied Optics 9: 2720.

    Article  Google Scholar 

  107. Zaidi, S.H., and S.R.J. Brueck. 1988. High aspect-ratio holographic photoresist gratings. Applied Optics 27: 2999–3002.

    Article  Google Scholar 

  108. Brueck, S.R.J. 2005. Optical and interferometric lithography—Nanotechnology enablers. Proceedings of the IEEE 93(10): 1074.

    Article  Google Scholar 

  109. Wolferen, H.V., and L. Abelmann. 2011. Laser interference lithography. In Lithography: Principles, processes and materials, ed. T.C. Hennessy. Hauppauge, NY: Nova.

    Google Scholar 

  110. Savas, T.A., et al. 1995. Achromatic interferometric lithography for 100-nm-period gratings and grids. Journal of Vacuum Science and Technology B13(6): 2732.

    Article  Google Scholar 

  111. Solak, H.H., et al. 2007. Photon-beam lithography reaches 12.5 nm half-pitch resolution. Journal of Vacuum Science and Technology B25: 91.

    Article  Google Scholar 

  112. Campbell, M., et al. 2000. Fabrication of photonic crystals for the visible spectrum by holographic lithography. Nature 404: 53–56.

    Article  Google Scholar 

  113. Ross, C.A., et al. 2002. Magnetic behavior of lithographically patterned particle arrays. Journal of Applied Physics 91: 6848–6853.

    Article  Google Scholar 

  114. Pang, Y.K., et al. 2005. Chiral microstructures (spirals) fabrication by holographic lithography. Optics Express 13(19): 7615.

    Article  Google Scholar 

  115. Fritze, M., et al. 2005. Hybrid optical maskless lithography: Scaling beyond the 45 nm node. Journal of Vacuum Science and Technology 23(6): 2743.

    Article  Google Scholar 

  116. Chen, X., and S.R.J. Brueck. 1998. Imaging interferometric lithography: A wavelength division multiplex approach to extending optical lithography. Journal of Vacuum Science and Technology B16(6): 3392.

    Article  Google Scholar 

  117. Burn Lin’s wish list for optical lithography: Eliminate the mask. Solid State Technology, 2007, March.

    Google Scholar 

  118. LaPedus, M. 2013. Challenges grow in photomask market. Chip Design News, September 19.

    Google Scholar 

  119. Lin, B.J. 2015. Optical lithography with and without NGL for single-digit nanometer nodes. Proceedings of SPIE 9426: 942602.

    Article  Google Scholar 

  120. Burns, G.A., and J.A. Schoeffel. 1987. Performance evaluation of the ATEQ CORE-2000 scanning laser reticle writer. Proceedings of SPIE 772: 55–64.

    Google Scholar 

  121. Allen, P.C., et al. 2005. ALTA 4700 system mask patterning performance improvements for X-architecture and wafer electrical performance interchangeability with 50kV E-beam. Proceedings of SPIE 5835.

    Google Scholar 

  122. Ljungblad, U., et al. 2001. New laser pattern generator for DUV using a spatial light modulator. Microelectronic Engineering 57–58: 23–29.

    Article  Google Scholar 

  123. Gil, D., et al. 2002. Parallel maskless optical lithography for prototyping, low-volume production, and research. Journal of Vacuum Science and Technology B20(6): 2597.

    Article  Google Scholar 

  124. Klosner, M., and K. Jain. 2004. Massively parallel, large-area maskless lithography. Applied Physics Letters 84(15): 2880.

    Article  Google Scholar 

  125. Martinsson, H., et al. 2005. Current status of optical maskless lithography. Journal of Microlithography, Microfabrication, and Microsystems 4(1): 011003.

    MathSciNet  Google Scholar 

  126. Yao, Ming-Jiun, et al., 2007. Application of Sigma7500 pattern generator to X architecture and 45-nm generation mask making. Proceedings of SPIE 6607.

    Google Scholar 

  127. Micronic Mydata Sigma7700 Data Sheet. http://www.mycronic.com/.

  128. Chichkov, B. 2008. Two-photon polymerization—High resolution 3D laser technology and its applications. In Nanoelectronics and photonics: From atoms to materials, devices, and architectures, ed. A. Korkin and F. Rosei. New York: Springer.

    Google Scholar 

  129. Sun, H.-B., and Y.-L. Zhang. 2010. Designable 3D nanofabrication by femtosecond laser direct writing. Nano Today 5: 435.

    Article  Google Scholar 

  130. Xu, B.-B., et al. 2010. Flexible nanowiring of metal on nonplanar substrates by femtosecond-laser-induced electroless plating. Small 6: 1762.

    Article  Google Scholar 

  131. Tanaka, T., H.-B. Sun, and S. Kawata. 2002. Rapid sub-diffraction-limit laser micro nano processing in a threshold material system. Applied Physics Letters 80(2): 312.

    Article  Google Scholar 

  132. Haske, Wojciech, et al. 2007. 65 nm feature sizes using visible wavelength 3-D multiphoton lithography. Optics Express 15(6): 3426.

    Article  Google Scholar 

  133. Kawata, S., et al. 2001. Finer features for functional microdevices. Nature 412: 697–698.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Cui, Z. (2017). Nanofabrication by Photons. In: Nanofabrication. Springer, Cham. https://doi.org/10.1007/978-3-319-39361-2_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-39361-2_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-39359-9

  • Online ISBN: 978-3-319-39361-2

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics