Skip to main content

HIBI v.2 Communication Network for System-on-Chip

  • Conference paper
Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2004)

Part of the book series: Lecture Notes in Computer Science ((LNCS,volume 3133))

Included in the following conference series:

Abstract

This paper presents a communication network targeted for complex system-on-chip (SoC) and network-on-chip (NoC) designs. The Heterogeneous IP Block Interconnection v.2 (HIBI) aims at maximum efficiency and energy saving per transmitted bit combined with guaranteed quality-of-service (QoS) in transfers. Other features include support for arbitrary topologies with several clock domains, flexible scalablility in signalling and run-time reconfiguration of network parameters. HIBI has been implemented in VHDL and SystemC and synthesized in 0.18 CMOS technology with area comparable to other NoC wrappers. HIBI data transfers are shown to approach the maximum theoretical performance for protocol efficiency.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Chang, H., et al.: Surviving SoC Revolution. Kluwer Academic Publishers, Norwell (1999)

    Google Scholar 

  2. Keating, M., Bricaud, P.: Reuse Methodology Manual, 2nd edn. Kluwer Academic Publishers, Norwell (1999)

    Google Scholar 

  3. Rowson, J.A., Sangiovanni-Vincentelli, A.: Interface-Based Design. In: proc. DAC, pp.178-183 (1997)

    Google Scholar 

  4. Keutzer, K., et al.: System-Level Design: Orthogonalization of Concerns and Platform-Based Design. IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems 19(12), 1523–1543 (2000)

    Article  Google Scholar 

  5. Scherson, I.D., Youssef, A.S.: Interconnection Networks for High-Performance Parallel Computers. IEEE Computer Society Press, Los Alamitos (1994)

    Google Scholar 

  6. Varma, A., Raghavendra, C.S. (eds.): Interconnection Networks for Multiprocessors and Multicomputers Theory and Practice. IEEE Computer Society Press, Los Alamitos (1994)

    Google Scholar 

  7. Zalewski, J. (ed.): Advanced Multiprocessor Bus Architectures. IEEE Computer Society Press, Los Alamitos (1995)

    Google Scholar 

  8. Park, J.H., et al.: MPEG-4 video codec on an ARM and AMBA. In: proc. Workshop and Exhibition on MPEG-4, pp.95-98 (2001)

    Google Scholar 

  9. Dutta, S., et al.: Viper: A Multiprocessor SoC for Advanced Set-Top Box and Digital TV Systems. IEEE Design and Test of Computers, vol 18(5), 21–31 (2001)

    Article  Google Scholar 

  10. ARM Limited: AMBA Specification Rev 2.0. (1999)

    Google Scholar 

  11. Sonics Inc.: Sonics uNetworks Technical Overview Revision A21-1 (2000)

    Google Scholar 

  12. Salminen, E., et al.: Overview of Bus-based System-On-Chip Interconnections. In: proc. ISCAS, pp.II-372 - II-375 (2002)

    Google Scholar 

  13. Benini, L., de Micheli, G.: Networks on chips: A New SoC Paradigm. Computer 35(1), 70–78 (2002)

    Article  Google Scholar 

  14. Jantsch, A., Tenhunen, H. (eds.): Networks on Chip. Kluwer Academic Publishers, Dordrecht (2003)

    Google Scholar 

  15. Moraes, F., et al.: A Low Area Overhead Packet-Switched Network on Chip: Architecture and Prototyping. In: proc. IFIP VLSI-SOC, pp.174-179 (2003)

    Google Scholar 

  16. Rijpkema, E., et al.: Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Network on Chip (Extended version). IEEE Proc. Computers and Digital Techniques 150(5), 294–302 (2003)

    Article  Google Scholar 

  17. Andriahatenenaina, A., Greiner, A.: Micro-network for SoC: Implementation of 32-port SPIN Network. In: proc. DATE, pp.11128-11129 (2003)

    Google Scholar 

  18. Valtonen, T., et al.: An Autonomous Error-tolerant Cell for Scalable Network-on- Chip Architectures. In: proc. Norchip, pp.198-203 (2001)

    Google Scholar 

  19. Zeferino, C.A., et al.: A Study on Communication Issues for System-on-Chip. In: proc. SBCCI, pp.121-126 (2002)

    Google Scholar 

  20. Kuusilinna, K., et al.: Low-Latency Interconnection for IP-Block Based Multimedia Chips. In: proc. PDCN, pp.411-416 (1998)

    Google Scholar 

  21. Lahtinen, V., et al.: Interconnection scheme for continuous-media systems-on-chip. Microprocessors and Microsystems 26(3), 123–138 (2002)

    Article  Google Scholar 

  22. OCP-IP Alliance: Open Core Protocol Specification, Release 1.0, Portland, OR (2001)

    Google Scholar 

  23. Kangas, T., et al.: System-on-Chip Communication Optimization with Bus Monitoring. In: proc. DDECS, pp.304-309 (2002)

    Google Scholar 

  24. ARM Limited: ARM7 Thumb Family Flyer (2003)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2004 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Salminen, E., Lahtinen, V., Kangas, T., Riihimäki, J., Kuusilinna, K., Hämäläinen, T.D. (2004). HIBI v.2 Communication Network for System-on-Chip. In: Pimentel, A.D., Vassiliadis, S. (eds) Computer Systems: Architectures, Modeling, and Simulation. SAMOS 2004. Lecture Notes in Computer Science, vol 3133. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-540-27776-7_43

Download citation

  • DOI: https://doi.org/10.1007/978-3-540-27776-7_43

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-540-22377-1

  • Online ISBN: 978-3-540-27776-7

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics