Skip to main content

Logic Verification, Testing and their Relationship to Logic Synthesis

  • Chapter
Testing and Diagnosis of VLSI and ULSI

Part of the book series: NATO ASI Series ((NSSE,volume 151))

Abstract

Much work has gone into automating the integrated circuit (IC) design process over the past few years (e.g. [1] [2] [3]). A variety of Computer-Aided Design (CAD) tools for the logic [4] [5] and physical design [6] of integrated circuits have been developed. It is clear that an integrated set of computer design aids coupled with an unified approach to data management is essential for VLSI design. To this end, research has focused on IC synthesis systems [7] i.e. systems which can automatically generate functionally correct mask-level layout of integrated circuit chips from high level, programming language-like specifications.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. S. W. Director, A. C. Parker, D. P. Siewiorek and D. E. Thomas, A Design Methodology and Computer Aids for Digital VLSI Systems, IEEE Transactions on Circuits and Systems CAS-28, (July 1981), 634–645.

    Google Scholar 

  2. A. R. Newton, D. O. Pederson, A. Sangiovanni-Vincentelli and C. H. Sequin, Design Aids for VLSI: The Berkeley Perspective, IEEE Transactions on Circuits and Systems CAS-28, (July 1981), 666–680.

    Google Scholar 

  3. J. Allen and P. Penfield, VLSI Design Automation Activities at M.I.T., IEEE Transactions on Circuits and Systems CAS-28, (July 1981), 645–665.

    Google Scholar 

  4. R. K. Brayton and C. T. McMullen, Synthesis and Optimization of Multistage logic, Proc. lCCD,, October 1984.

    Google Scholar 

  5. R. K. Brayton, C. T. McMullen, G. D. Hachtel and A. L. Sangiovanni-Vincentelli, Logic Minimization Algorithms for VLSI Synthesis, Kluwer Academic Publishers, 1984.

    Book  MATH  Google Scholar 

  6. J. Soukup, Circuit Layout, Proc. of the IEEE,, October 1981, 1281–1305.

    Google Scholar 

  7. A. Sangiovanni-Vincentelli, An Overview of Synthesis Systems, Proc. of Custom Integrated Circuits Conference,, May 1985.

    Google Scholar 

  8. D. Johannsen, Bristle Blocks: A silicon compiler, Proc. of 16th Design Automation Conference,, 1979, 310–313.

    Google Scholar 

  9. H. E. Shrobe, The Datapath Generator, Proc. Conf. Adv. Res. in VLSI, MIT, Cambridge, MASS., January 1982.

    Google Scholar 

  10. J. R. Southard, MACPITTS: An Approach to Silicon Compilation, IEEE Computer,, December 1983.

    Google Scholar 

  11. O. H. Ibarra and S. K. Sahni, Polynomially complete fault detection problems, IEEE Transactions on Computers C-24, (March 1975), 242–249.

    Google Scholar 

  12. R. Marlett, EBT: A Comprehensive Test Generation Technique for highly sequential circuits, Proc. of 15th Design Automation Conference, Las Vegas, June 1978, 332–338.

    Google Scholar 

  13. M. A. Breuer and A. D. Friedman, Diagnosis and Reliable Design of Digital Systems, Computer Science Press, 1986.

    Google Scholar 

  14. . H. K. T. Ma, S. Devadas, A. R. Newton and A. L. Sangiovanni-Vincentelli, Test Generation for Sequential Finite State Machines, Proc. of Int’l Conference on Computer-Aided Design (ICCAD), Santa Clara, November 1987.

    Google Scholar 

  15. E. B. Eichelberger and T. W. Williams, A logic design structure for LSI testability, Proc. 14th Design Automation Conference,, June 1977, 462–468.

    Google Scholar 

  16. B. Konemann, J. Mucha and G. Zweihoff, Built-in Self Test for complex digital integrated circuits, IEEE journal of Solid State Circuits SC-15, (June 1980), 315–319.

    Google Scholar 

  17. D. Brand, Redundancies and don’t cares in logic synthesis, IEEE Transactions on Computers C-32, (October 1983),.

    Google Scholar 

  18. J. P. Roth, Minimization using the D-Algorithm, IEEE Transactions on Computers35, (May 1986),.

    Google Scholar 

  19. W. E. Donath and H. Ofek, Automatic identification of equivalence points for Boolean Logic Verification, IBM Technical Disclosure Bulletin 18, (January 1976),.

    Google Scholar 

  20. J. P. Roth, VERIFY: an algorithm to verify a computer design, IBM Technical Disclusure Bulletin 15, (1973), 2646–2648.

    Google Scholar 

  21. J. P. Roth, Hardware Verification, IEEE Transactions on Computers C-26, (1977), 1292- 1294.

    Google Scholar 

  22. G. Odawara, M. Tomita, O. Okuzawa and T. Ohta, A Logic Verifier based on Boolean Comparison, Proc. 23rd Design Automation Conference,, June 1986.

    Google Scholar 

  23. G. D. Hachtel and R. M. Jacoby, Verification Algorithms for VLSI Synthesis, Design Systems for VLSI Circuits,, 1986, 264–300.

    Google Scholar 

  24. R. S. Wei, Logic Verification and Test Generation for VLSI Circuits, Ph.D Dissertation,, U. C. Berkeley, September 1986.

    Google Scholar 

  25. R. E. Bryant, Graph-Based Algorithms for Boolean Function Manipulation, IEEE Transactions on Computers,, 1986.

    Google Scholar 

  26. R. E. Bryant, Symbolic Verification of MOS Circuits, 1985 Chapel Hill Conference on VLSI,, December 1985.

    Google Scholar 

  27. M. Browne, E. Clarke, D. Dill and B. Mishra, Automatic Verification of Sequential Circuits using Temporal Logic, Technical Report CMU, Pittsburgh, PA-CS-85-100, 1985.

    Google Scholar 

  28. F. Maruyama and M. Fujita, Hardware Verification, IEEE Computer,,Feb. 1985.

    Google Scholar 

  29. D. Dill and E. Clarke, Automatic Verification of Asynchronous Circuits using Temporal Logic, 1985 Chapel Hill Conference on VLSI,, 1985.

    Google Scholar 

  30. K. J. Supowit and S. J. Friedman, A New Method for verifying Sequential Circuits, Proc. of 23rd Design Automation Conference,, June 1986.

    Google Scholar 

  31. S. Devadas, H. K. T. Ma and A. R. Newton, On the Verification of Sequential Machines At Differing Levels of Abstraction, Proc. of 24th Design Automation Conference and submitted to IEEE Transactions on CAD,, 1987.

    Google Scholar 

  32. . J. P. Roth, Diagnosis of Automata Failures: a calculus and a method, IBM journal of Research and Development 10, (July 1966), 278–291.

    Article  MATH  Google Scholar 

  33. P. Goel, An Implicit Enumeration Algorithm to generate tests for combinational logic circuits, IEEE Transactions on Computers C-30, (March 1981), 215–222.

    Article  Google Scholar 

  34. C. Y. Lee, Representation of Switching Circuits by Binary Decision Diagrams, Bell Syst. Tech. J 38, (July 1959), 985–999.

    Google Scholar 

  35. . S. B. Akers, Binary Decision Diagrams, IEEE Transactions on Computers C-27, (June 1978), 509–516.

    Article  Google Scholar 

  36. F. Brglez and H. Fujiwara, A neutral netlist of 10 combinational benchmark circuits and a target translator in Fortran, Proc. 1985 IEEE Int. Symp. Circuits and Systems, Kyoto, Japan, June 5–7, 1985.

    Google Scholar 

  37. H. K. T. Ma, S. Devadas and A. L. Sangiovanni-Vincentelli, Logic Verification Algorithms and their Parallel Implementation, Proc. of 24th Design Automation Conference, Miami Beach, June 1987.

    Google Scholar 

  38. S. Hwang and A. R. Newton, An Efficient Design Correctness Checker for Finite State Machines, Proc. of Int’l Conference on Computer-Aided Design, Santa Clara, November 1987.

    Google Scholar 

  39. J. D. Ullman Computational Aspects of VLSI, Computer Science Press, Rockville, Maryland, 1984.

    MATH  Google Scholar 

  40. J. E. Hopcroft and J. D. Ullman, Introduction to Automata Theory, Languages and Computation, Addison-Wesley, Reading, Mass., 1979.

    MATH  Google Scholar 

  41. M. Barbacci, G. Barnes, R. Cattell and D. P. Siewiorek, The Symbolic Manipulation of Computer Descriptions: ISPS Description Language, Carnegie Mellon University, Research Report, 1979.

    Google Scholar 

  42. J. A. Robinson, A Review of Automatic Theorem Proving, Proc. Symp. Appl. Math. Soc. 19, (1967),.

    Google Scholar 

  43. G. D. Hachtel and P. H. Moceyunas, A Parallel Implementation of a Tautology Algorithm, Proc. of Int’l Conference on Computer-Aided Design, Santa Clara, November 1987.

    Google Scholar 

  44. K. A. Bartlett, R. K. Brayton, G. D. Hachtel, R. M. Jacoby, C. R. Morrison, R. L. Rudell, A. L. Sangiovanni-Vincentelli and A. R. Wang, Multi-level logic minimization using implicit don’t cares, submitted IEEE Transactions on CAD,, August 1986.

    Google Scholar 

  45. F. C. Hennie, Fault detecting experiments for sequential circuits, Proc. of 5th Annual Symp. on Switching Circuit Theory and Logical Design, Princeton, N. J., November 1964, 95–110.

    Google Scholar 

  46. W. G. Bouricius, Algorithms for Detection of Faults in Logic Circuits, IEEE Transactions on Computers C-20, (November 1971),.

    Google Scholar 

  47. A. Miczo, The Sequential ATPG: A Theoretical Limit, Proc. of 1983 International Test Conference, Philadelphia, PA, October 1983, 143–147.

    Google Scholar 

  48. V. D. Agarwal, S. K. Jain and D. M. Singer, Automation in Design for Testability, Proc. of Custom Integrated Circuits Conference, Rochester, NY, May 21–23 1984.

    Google Scholar 

  49. M. A. Breuer, A Random and an Algorithmic technique for fault detection and Test generation for sequential circuits, IEEE Transactions on Computers C-20, (November 1971), 1366–1370.

    Article  MathSciNet  Google Scholar 

  50. . H. D. Schnurmann, E. Lindbloom and R. G. Carpenter, The Weighted Random Test-Pattern Generator, IEEE Transactions on Computers C-24, (July 1975), 695–700.

    Article  Google Scholar 

  51. S. Mallela and S. Wu, A Sequential Test Generation System, Proc. of International Test Conference, Philadelphia, PA, October 1983, 57–61.

    Google Scholar 

  52. S. Nitta, M. Kawamura and K. Hirabayashi, Test Generation by Activation and Defect-Drive (TEGAD), INTEGRATION, the VLSI Journal 3(1985), (1985), 2–12.

    Article  Google Scholar 

  53. S. Shteingart, A. W. Nagle and J. Grason, RTG: Automatic Register Level Test Generator, Proc. of 22nd Design Automation Conference, Las Vegas, June 1985, 803–807.

    Google Scholar 

  54. M. Hill Design decisions in SPUR, IEEE Computer 19, (November 1986), 8–22.

    Google Scholar 

  55. R. K. Brayton, R. Rudell, A. Sangiovanni-Vincentelli and A. Wang, MIS: A Multiple Level Logic Optimization System, IEEE Transactions on CAD,, November 1987

    Google Scholar 

  56. A. R. Wang, Logic Verification by Selective Collapsing, EECS 219 Final Report,, December 1986.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 1988 Kluwer Academic Publishers

About this chapter

Cite this chapter

Devadas, S., Ma, HK.T., Sangiovanni-Vincentelli, A. (1988). Logic Verification, Testing and their Relationship to Logic Synthesis. In: Lombardi, F., Sami, M. (eds) Testing and Diagnosis of VLSI and ULSI. NATO ASI Series, vol 151. Springer, Dordrecht. https://doi.org/10.1007/978-94-009-1417-9_8

Download citation

  • DOI: https://doi.org/10.1007/978-94-009-1417-9_8

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-94-010-7134-5

  • Online ISBN: 978-94-009-1417-9

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics