Skip to main content

Part of the book series: NATO ASI Series ((NSSE,volume 249))

Abstract

In this contribution, some aspects of system level design are discussed. After a short characterization of the term system level, most emphasis is laid on modelling aspects. Various modelling techniques are discussed and a specific model, extended Pr/T-Nets are introduced in detail. A short overview of other system level activities concludes this paper.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 259.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 329.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 329.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. J.K. Annot, P.A.M. de Haan: POOL and DOOM: the Object Oriented Approach. P.C. Treleaven (E.): Parallel Computers, Object-Oriented, Functional, Logic. Wiley, 1990

    Google Scholar 

  2. L.M. Augustin, B. A. Gennart, Y. Huh: Verification of VHDL Design Using VAL. Proc. 25th DAC, 1988

    Google Scholar 

  3. H.H. Adelsberger, U.W. Pooch et al: Rule Based Object Oriented Simulation Systems. In [LA86]

    Google Scholar 

  4. H. Beilner: Workload Characterization and Performance Modelling Tools. G. Serazzi (Ed.): Workload Characterization of Computer Systems & Computer Networks. North Holland, 1986

    Google Scholar 

  5. M. Bidjan-Irani: A Rule-Based Design-for-Testability Rule Checker. IEEE Design & Test of Computers, March 1991

    Google Scholar 

  6. J. Bartolazzi, K. Kirsch, K. Neusinger, K.D. Müller-Glaser: Towards an Integrated Environment for Microsystem Design. F.J. Rammig, R. Waxmann (Ed.): Electronic Design Automation Frameworks, North Holland, 1991

    Google Scholar 

  7. M. Brielmann, E. Kupitz, S. Rudolph: Hardware Engineering Environment. in: P. Schefstrm, G. van den Brock (Eds.): Tool Integration-Environments and Frameworks, Wiley, 1993

    Google Scholar 

  8. D. Borrione: Langue de description des systemes logiques -Proposition pour une methode formelle de definition. These d’Etat, INPG Grenoble, 1981

    Google Scholar 

  9. H.J. Burckhardt, P. Ochsenschlger, R. Prinoth: Product Nets -A Formal Description Technique for Cooperating Systems, GMD Studien Nr. 165, Gesellschaft f. Mathematik u. Datenverarbeitung mbH, St. Augustin, 1989

    Google Scholar 

  10. R.E. Bryant: MOSSIM: A Switch Level Simulator for MOS-LSI. Proc. 18th DAC, 1981

    Google Scholar 

  11. CCITT Recommendation Z.100: Specification and Description Language SDL. AP IX-35, 1988

    Google Scholar 

  12. K.L. Clark, S. Gregory: PARLOG: Parallel Programming in Logic. Imperial College, London, Research Report DOC 84/4, 1984

    Google Scholar 

  13. Y. Chu: Introducing CDL. IEEE Computer, Dec. 1979

    Google Scholar 

  14. L.A. Cherkasova, V.E. Kotov: Structured Nets. Proc. MFCS’8l, Springer LNCS 118, 1981

    Google Scholar 

  15. W.F. Clocksin, C.S. Mellish: Programming in PROLOG, Springer, 1981

    MATH  Google Scholar 

  16. T. DeMarco: Structured Analysis and Systems Specification. Prentice Hall, 1978

    Google Scholar 

  17. DACAPO III System User Manual. Dosis GmbH, Dortmund

    Google Scholar 

  18. J.R. Duley, D.L. Dietmeyer: A Digital System Design Language (DDL). IEEE ToC, C-24, No. 2, 1975

    Google Scholar 

  19. W. Ecker, M. Hofmeister: The Design Cube -A New Model for VHDL Designflow Representation. Proc. Euro-DAC’92, 1992

    Google Scholar 

  20. M.S. Elzas, T.I. Ören, B.P. Zeigler: Modelling and Simulation Methodology in the Artificial Intellegence Era. North Holland, 1986

    Google Scholar 

  21. H.W. Egdorf, D.D. Robert: Discrete Event Simulation Methodology in the Artificial Intellegence Environment. Proc. Conference on AI and Simulation, AI Papers, 1988

    Google Scholar 

  22. W. Fox, J. Friedrich, R. Hopp, T. Kathöfer, A. Meckenstock, D. Nolte, K. Pielsticker, G. Reitmeyer, F. Rupprecht, M. Schrewe: The Architecture of the Object Management System within Cadlab Framework. F.J. Rammig, R. Waxmann (Ed.): Electronic Design Automation Frameworks, North Holland, 1991

    Google Scholar 

  23. I. Foster, S. Taylor: Flat Parlog: A Basis for Comparison. Int. Journal of Parallel Programming 16,2,1987

    Google Scholar 

  24. D.D. Gajski: The Structure of a Silicon Compiler. Proc. of IEEE ICCD, 1987

    Google Scholar 

  25. R.K. Gupta, G.DeMicheli: System Synthesis via. Hardware-Software Codesign. CSL Technical Report CSL-TR, Stanfort University, 1992

    Google Scholar 

  26. R.K. Gupta, G. DeMicheli: System Level Synthesis Using Re-Programmable Components. Proc. EDAC’92, 1992

    Google Scholar 

  27. J. Guttag, J.J. Horning: The Algebraic Specification of Abstract Data Types. Acta Inform atica, 10, 1978

    Google Scholar 

  28. U. Glässer, G. Hannesen, M. Kärcher, G. Lehrenfeld: A Distributed Implementation of Flat Concurrent Prolog on Multi-Processor Environments. Proc. First International Conference of the Austrian Center for Parallel Computation, 1991

    Google Scholar 

  29. U. Glässer, M. Kärcher, G. Lehrenfeld, N. Vieth: Flat Concurrent Prolog on Transputers. Journal of Microcomputer Applications, Academic Press, Vol. 13, No.l, 1990

    Google Scholar 

  30. H.J. Genrich, K. Lautenbach: System Modelling with High-Level Petri Nets. Theoretical Computer Science, 13, 1981

    Google Scholar 

  31. U. Glsser: A Distributed Implementation of Flat Concurrent Prolog on Multi-Transputer Environments. in: P. Kacsuk, M.J. Wise (Eds.): Implementations of Distributed Prolog, Wiley, 1992

    Google Scholar 

  32. W. Glunz, G. Umbreit: VHDL for High-Level Synthesis of Digital Systems. Proc. 1st European Conference on VHDL, 1990

    Google Scholar 

  33. W. Glunz, G. Venzl: Hardware Design Using CASE Tools. Proc. IFIP VLSI’91, 1991

    Google Scholar 

  34. R. Hartenstein: Fundamentals of Structured Hardware Design. North Holland, 1977

    Google Scholar 

  35. D. Harel: Statecharts: A visual formalism for complex systems. Science of Computer Programming, 8, 1987

    Google Scholar 

  36. G. Held (Ed.): Sprachbeschreibung GRAPES. Siemens AG, 1990

    Google Scholar 

  37. P.N. Hilfinger: A High-Level Language and Silicon Compiler for Digital Signal Processing. Proc. IEEE Custom Integrated Circuits Conf., 1985

    Google Scholar 

  38. N. Ichiyoski, T. Miyazaki, K. Taki: A Distributed Implementation of Flat GHC on the Multi-PSI. in: J.L. Lassez (Ed.): Logic Programming-Proceeding of the Fourth Int. Conference on Logic Programming, MIT Press, 1987

    Google Scholar 

  39. K. Jensen: Coloured Petri Nets: A High Level Language for System Design and Analysis, in: G. Rozenberg (Ed.): Advances in Petri Nets, Springer Lecture Notes in Computer Science, No. 485, 1991

    Google Scholar 

  40. D.R. Jefferson, H.A. Sowizral: Fast concurrent simulation using the time warp mechanism. Proc. SCS Distributed Simulation Conference, 1985

    Google Scholar 

  41. T.H. Krodel, K.J. Antreich: An Accurate Model for Ambiguity Delay Simulation, Proc. EDAC’90, 1990

    Google Scholar 

  42. D. Kirstein: TransNet -Ein Interpreter zur mengentheoretischen Transformation hierarchischer Petrinetze. Diplomarbeit, Univ.-GH Paderborn, FB 17, 1992

    Google Scholar 

  43. B. Kleinjohann, E. Kupitz: Tight Integration in a Hardware Synthesis System. In [RW91]

    Google Scholar 

  44. E. Kupitz: Design Assistance in Concurrent Integrated Environments. Proc. 3rd IFIP Workshop on Electronic Design Auto-mation Frame-works(EDAF’92), North Holland, 1992

    Google Scholar 

  45. P.A. Luker, H.H. Adelsberger: Intelligent Simulation Environments. SCS Simulation Series, 17:1, 1986

    Google Scholar 

  46. J.C. Lopez, M.F. Jacome, S.W. Director: Unifying Tool, Data and Process Flow Management. Proc. Euro-DAC, 1992

    Google Scholar 

  47. B. Lutter, W. Glunz, F.J. Rammig: Using VHDL for Simulation of SDL Specifications. Proc. Euro-DAC’92, 1992

    Google Scholar 

  48. C. Le Faou, J. Mermet: Introducing CASCADE, Control Graph in VHDL. in: J. Mermet (Ed.): VHDL for Simulation, Synthesis and Formal Proofs of Hardware, Kluwer, 1992

    Google Scholar 

  49. K.D. Lewke, F.J. Rammig: Description and Simulation of MOS Devices in Register Transfer Languages. Proc. IFIP VLSI 83, North Holland, 1983

    Google Scholar 

  50. B. Moller-Pedersen, D. Belones: Rational and Tutorial OSDL: An ObjectOriented Extension of SDL. Computer Networks and ISDN Systems 13, 1987

    Google Scholar 

  51. J. Mermet: Définition du Langage CASSANDRE, Thèse Doctorat-ingénieur, Grenoble, 30 mars 1970

    Google Scholar 

  52. C. Mierowskiy, Si Taylor, E. Shapiro, J. Levi, S. Safra: The Design and Implementation of Flat Concurrent Prolog. Technical Report CS 85–9, Dept. of CS, The Weizmann Institute of Science, Rehovot, Israel, 1985

    Google Scholar 

  53. W. Müller, F.J. Rammig: ODICE: Object Oriented Hardware Description in CAD Environment. Proc. IFIP CHDL 89, North Holland, 1989

    Google Scholar 

  54. R. Milner, M. Tofte, R. Harper: The Definition of Standard ML. MIT Press, 1990

    Google Scholar 

  55. C. Nagel: Generierung funktionaler Modelle für v.Neumann Rechnerarchitekturen. Diplomarbeit, Univ.-GH-Paderborn, FB 17, 1989

    Google Scholar 

  56. K. Nakajima: Distributed Implementation of 3KL1 on the Multi-PSI. in: P. Kacsuk, M.J. Wise (Eds.): Implementations of Distributed Prolog, Wiley, 1992

    Google Scholar 

  57. M. Niemeyer: Simulation of Heterogeneous Models With a Simulator Coupling System. Proc. SCS 1991 European Simulation Multiconference, Juni 1991

    Google Scholar 

  58. M. Newman, (ed.), Tom Rhyne: Electronic design automation Frameworks: when will the promise be realized? Proceedings of the 3rd IFIP WG 10.2/WG 10.5 Workshop on Electronic Design Automation Frameworks, 1992

    Google Scholar 

  59. A. Oczko: Hardware Design with VHDL at a very high level of abstraction. Proc. 1st European Conference on VHDL, 1990

    Google Scholar 

  60. A. Oczko, Ch. Oczko: Putting Different Simulation Models Together -The Simulation Configuration Language VHDL/S. Proc. IFIP CHDL 91, North Holland, 1991

    Google Scholar 

  61. R. Piloty, M. Barbacci, D. Borrione, D. Dietmeyer, F. Hill, P. Skelly: CONLAN Report. Lecture Notes in Computer Science No. 151, Springer, 1983

    Google Scholar 

  62. H. Pfaffhausen: Ein wissensbasierter Ansatz zur automatischen Durchführung von Experimenten in der Logiksimulation. Dissertation, Universität-GH-Paderborn, 1991

    MATH  Google Scholar 

  63. B. Plorin, M. Schweins: Dialogorientierte Generierung von Mikroprozessormodellen. Diplomarbeit, Universität-GH-Paderborn, FB 17, 1990

    Google Scholar 

  64. Five Valued Quasi Real Boolean Functions, Proc. 5th. European Meeting of Cybernetics and System Research, 1980

    Google Scholar 

  65. F. J. Rammig: Structured Parallel Programming with a Highly Concurrent Programming Language, in: Atti di Congresso Annuale AICA’80, 1980

    Google Scholar 

  66. F.J. Rammig (Ed.): Tool Integration and Design Environments. North Holland, 1987

    Google Scholar 

  67. F.J. Rammig: Systematischer Entwurf digitaler Systeme. B.G. Teubner, 1985

    Google Scholar 

  68. F.J. Rammig: Synthesis Related Aspects of Simulation, in: P. Michel, U. Lauther, P. Duzy (Eds.): The Synthesis Approach to Digital System Design, Kluwer, 1992

    Google Scholar 

  69. W. Reisig: Petri Nets: An Introduction. Springer, 1985

    Google Scholar 

  70. D.T. Ross: Structured Analysis (SA): A Language for Communicating Ideas. in: IEEE ToSE SE-3:1 (1977)

    Google Scholar 

  71. M. Rupprecht: Implementierung und parallele Verarbeitung von Kommunikationssoftware. Teubner Texte zur Informatik, Band, 1993

    Google Scholar 

  72. F.J. Rammig, R. Waxmann (Eds.): Electronic Design Automation Frameworks. North Holland, 1991

    MATH  Google Scholar 

  73. CCITT: CCITT Specification and Description Language SDL, Recommendation Z. 100 (SDL’92). Genf 1992

    Google Scholar 

  74. M. Seutter (Ed.):• Glass: A system description language and its environment, Introduction and User manuals. University of Nijmegen, NL, 1990

    Google Scholar 

  75. E. Shapiro: Concurrent Prolog: A Progress Report, IEEE Computer 19,8, 1986

    Article  Google Scholar 

  76. E. Shapiro: Concurrent Prolog: Collected Papers, Vol. 2, MIT Press, 1987

    Google Scholar 

  77. E. Shapiro: The Family of Concurrent Logic Programming Languages. ACM Computing Surveys 21,3, 1989

    Article  Google Scholar 

  78. R.E. Shannon, R. Mayer, H.H. Adelsberger: Expert Systems and Simulation. SIMULATION, Vol. 44, Juni 1985

    Google Scholar 

  79. J.M. Spivey: The Z Notation, A Reference Manual, 2nda Edition, Prentice Hall, 1992

    Google Scholar 

  80. R.A. Sprague, K.J. Singh, R.T. Wood: Concurrent Engineering in Product Development. IEEE Design & Test of Computers, March 1991

    Google Scholar 

  81. B. Stroustrup: The C++ Programming Language, Addison-Wesley, 1986

    MATH  Google Scholar 

  82. B. Steinmller: The JESSI-COMMON-FRAME-Project -A Project Overview. in: NR92

    Google Scholar 

  83. N. Suzuki: Concurrent Prolog as an Efficient VLSI Design Language. IEEE Computer, Vol. 18, No. 2, 1985

    Article  Google Scholar 

  84. U. Suffrian: Vergleichende Untersuchungen von State-Charts und strukturierten Petri-Netzen. Dipl.Arb., Univ.-GH-Paderborn, FB 17, 1990

    Google Scholar 

  85. Teledyne Brown Engineering: IORL Reference Manual. Huntsville, AL, 1984

    Google Scholar 

  86. D. Thomas: The Verilog Hardware Description Language. Kluwer, 1991

    Book  Google Scholar 

  87. T. Tikkanen, T. Leppnen, J. Kivel: Structured Analysis and VHDL in Embedded Asic Design and Verification, Proc. EDAC’90, 1990

    Google Scholar 

  88. K. Ueda: Guarded Horn Clauses. ICOT Techn. Report TR-103, Tokyo,1985

    Google Scholar 

  89. IEEE Standard VHDL Language Reference Manual. IEEE IStd 1076, 1987

    Google Scholar 

  90. F. Vahid S. Narayanand D.D. Gajski: SpecCharts: A Language for System Level Synthesis. Proc. IFIP CHDL 91, North Holland, 1991

    Google Scholar 

  91. P.T. Ward, S.J. Mellon Structured Development for Real-Time Systems, vol. 1–3, Yourdon Press, N.Y. 1985

    Google Scholar 

  92. D. Weinbaum, E. Shapiro: Hardware Description and Simulation Using Concurrent Prolog. Proc. IFIP CHDL 87, North Holland, 1987

    Google Scholar 

  93. E. Yourdan, L. Constantin: Structured Design: Fundamentals of a Discipline of Computer Program and Design. Prentice Hall, 1979

    Google Scholar 

  94. M. Zanella: Principles of Design Methodology Management for Electronic CAD Frameworks. Proc. EDAC’92, 1992

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 1993 Springer Science+Business Media Dordrecht

About this chapter

Cite this chapter

Rammig, F.J. (1993). System Level Design. In: Mermet, J.P. (eds) Fundamentals and Standards in Hardware Description Languages. NATO ASI Series, vol 249. Springer, Dordrecht. https://doi.org/10.1007/978-94-011-1914-6_4

Download citation

  • DOI: https://doi.org/10.1007/978-94-011-1914-6_4

  • Publisher Name: Springer, Dordrecht

  • Print ISBN: 978-94-010-4846-0

  • Online ISBN: 978-94-011-1914-6

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics