Skip to main content

3D Integration Technology for Multicore Systems On-Chip

  • Chapter
  • First Online:
Advanced Multicore Systems-On-Chip

Abstract

3D integration fully explains the latest microelectronics techniques for increasing chip density and maximizing performance while reducing power consumption. Three-dimensional NoCs/SoCs systems have been showing their advantages against conventional two-dimensional SoCs. Thanks to their reduced average interconnect length and lower interconnect-power consumption inherited from three-dimensional ICs. To ensure their correct functionality, such systems must be fault-tolerant to any short-term malfunction or permanent physical damage to ensure message delivery on time while minimizing the performance degradation as much as possible. This chapter introduces 3D integration technology for fault-tolerant multicore Systems On-Chip .

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 179.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. U. Kang, H.-J. Chung, S. Heo, S.-H. Ahn, H. Lee, S.-H. Cha, J. Ahn, D. Kwon, J. Kim, J.-W. Lee, et al., 8Gb 3D DDR3 DRAM using through-silicon-via technology, in IEEE International Solid-State Circuits Conference-Digest of Technical Papers (ISSCC)

    Google Scholar 

  2. J. Ahn et al., 7.1 A 1/4-inch 8Mpixel CMOS image sensor with 3D backside-illuminated 1.12 um pixel with front-side deep-trench isolation and vertical transfer gate, in 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (2014), pp. 124–125

    Google Scholar 

  3. V. Suntharalingam, R. Berger, S. Clark, J. Knecht, A. Messier, K. Newcomb, D. Rathman, R. Slattery, A. Soares, C. Stevenson, et al., A 4-side tileable back illuminated 3d-integrated mpixel cmos image sensor, in IEEE International of Solid-State Circuits Conference-Digest of Technical Papers, 2009. ISSCC 2009, (IEEE, New York, 2009), pp. 38–39

    Google Scholar 

  4. H. Yoshikawa, A. Kawasaki, T. Iiduka, Y. Nishimura, K. Tanida, K. Akiyama, M. Sekiguchi, M. Matsuo, S. Fukuchi, K. Takahashi, Chip scale camera module (CSCM) using through-silicon-via (TSV), in IEEE International Solid-State Circuits Conference–Digest of Technical Papers (2009), pp. 476–477, 477a

    Google Scholar 

  5. K. Ishida, T. Yasufuku, S. Miyamoto, H. Nakai, M. Takamiya, T. Sakurai, K. Takeuchi, A 1.8 V 30nJ adaptive program-voltage (20V) generator for 3D-integrated NAND flash SSD, in IEEE International of Solid-State Circuits Conference-Digest of Technical Papers, 2009. ISSCC 2009, (IEEE, New York, 2009), pp. 238–239

    Google Scholar 

  6. M. Saen, K. Osada, Y. Okuma, K. Niitsu, Y. Shimazaki, Y. Sugimori, Y. Kohama, K. Kasuga, I. Nonomura, N. Irie et al., 3-d system integration of processor and multi-stacked srams using inductive-coupling link. IEEE J. Solid-State Circuits 45(4), 856–862 (2010)

    Article  Google Scholar 

  7. M. Karnezos, 3d packaging: Where all technologies come together, in Electronics Manufacturing Technology Symposium, 2004. IEEE/CPMT/SEMI 29th International (IEEE, New York, 2004), pp. 64–67

    Google Scholar 

  8. J. Miettinen, M. Mantysalo, K. Kaija, E. Ristolainen, System design issues for 3d system-in-package (sip), in Electronic Components and Technology Conference, 2004. Proceedings. 54th, vol. 1 (IEEE, New York, 2004), pp. 610–615

    Google Scholar 

  9. K. Banerjee, S.J. Souri, P. Kapur, K.C. Saraswat, 3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration. Proc. IEEE 89(5), 602–633 (2001)

    Article  Google Scholar 

  10. E. Culurciello, A.G. Andreou, Capacitive inter-chip data and power transfer for 3-d vlsi. IEEE Trans.Circuits Syst. II: Express Briefs 53(12), 1348–1352 (2006)

    Article  Google Scholar 

  11. W.R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A.M. Sule, M. Steer, P.D. Franzon, Demystifying 3d ics: the pros and cons of going vertical. IEEE Des. Test Comput. 22(6), 498–510 (2005)

    Article  Google Scholar 

  12. A.B. Ahmed, A. Ben Abdallah, Adaptive fault-tolerant architecture and routing algorithm for reliable many-core 3D-NoC systems. J. Parallel Distrib. Comput. 9394(7), 30–43 (2016)

    Google Scholar 

  13. A.B. Ahmed, A. Ben Abdallah, Architecture and design of high-throughput, low-latency, and fault-tolerant routing algorithm for 3d-network-on-chip (3d-noc). J. Supercomput. 66(3), 1507–1532 (2013)

    Google Scholar 

  14. A.B. Ahmed, A. Ben Abdallah, K. Kuroda, Architecture and design of efficient 3d network-on-chip (3D NoC) for custom multicore soc, in IEEE Proceedings of BWCCA-2010 (2010)

    Google Scholar 

  15. K.N. Dang, M. Meyer, Y. Okuyama, A. Ben Abdallah, A low-overhead soft-hard fault tolerant architecture, design and management scheme for reliable high-performance many-core 3D-NoC systems. Supercomputer 73, 2705–2729 (2017)

    Google Scholar 

  16. J.U. Knickerbocker, P.S. Andry, B. Dang, R.R. Horton, M.J. Interrante, C.S. Patel, R.J. Polastre, K. Sakuma, R. Sirdeshmukh, E.J. Sprogis et al., Three-dimensional silicon integration. IBM J. Res. Dev. 52(6), 553–569 (2008)

    Article  Google Scholar 

  17. T. Zhang, Y. Zhan, S. Sapatnekar, Temperature-aware routing in 3D ICs, in Asia and South Pacific Conference on Design Automation (2006), pp. 309–314

    Google Scholar 

  18. M. Cho, C. Liu, D.H. Kim, S.K. Lim, S. Mukhopadhyay, Design method and test structure to characterize and repair TSV defect induced signal degradation in 3D system, in Proceedings of the International Conference on Computer-Aided Design, (IEEE Press, New York, 2010), pp. 694–697

    Google Scholar 

  19. M. Laisne, K. Arabi, T. Petrov, Systems and methods utilizing redundancy in semiconductor chip interconnects, US Patent 8,384,417, 2013

    Google Scholar 

  20. L. Jiang, F. Ye, Q. Xu, K. Chakrabarty, B. Eklow, On effective and efficient in-field TSV repair for stacked 3D ICs, in Proceedings of the 50th Annual Design Automation Conference, (ACM, 2013) p. 74

    Google Scholar 

  21. I. Loi, S. Mitra, T.H. Lee, S. Fujita, L. Benini, A low-overhead fault tolerance scheme for TSV-based 3D network on chip links, in Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, (IEEE Press, New York, 2008), pp. 598–602

    Google Scholar 

  22. Y. Zhao, S. Khursheed, B.M. Al-Hashimi, Online fault tolerance technique for TSV-based 3-D-IC. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 23(8), 1567–1571 (2015)

    Article  Google Scholar 

  23. A.-C. Hsieh, T. Hwang, TSV redundancy: architecture and design issues in 3-D IC. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 20(4), 711–722 (2012)

    Article  Google Scholar 

  24. F. Ye, K. Chakrabarty, TSV open defects in 3D integrated circuits: characterization, test, and optimal spare allocation, in Proceedings of the 49th Annual Design Automation Conference, (ACM, 2012), pp. 1024–1030

    Google Scholar 

  25. Y. Zhao, S. Khursheed, B.M. Al-Hashimi, Cost-effective TSV grouping for yield improvement of 3D-ICs, in Asian Test Symposium (ATS), (IEEE, New York, 2011), pp. 201–206

    Google Scholar 

  26. D. Bertozzi, L. Benini, G. De Micheli, Error control schemes for on-chip communication links: the energy-reliability tradeoff. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(6), 818–831 (2005a)

    Google Scholar 

  27. A.B. Ahmed, A. Ben Abdallah, Architecture and design of high-throughput, low-latency, and fault-tolerant routing algorithm for 3D-network-on-chip (3D-NoC). J. Supercomput. 66(3), 1507–1532 (2013)

    Google Scholar 

  28. K.C.J. Chen, C.H. Chao, A.Y.A. Wu, Thermal-aware 3D network-on-chip (3D NoC) designs: routing algorithms and thermal managements. IEEE Circuits Syst. Mag. 15(4), 45–69 (2015)

    Article  Google Scholar 

  29. Y.J. Hwang, J.H. Lee, T.H. Han, 3d network-on-chip system communication using minimum number of tsvs, in ICT Convergence (ICTC), 2011 International Conference on, (IEEE, New York, 2011), pp. 517–522

    Google Scholar 

  30. A. Kologeski, C. Concatto, D. Matos, D. Grehs, T. Motta, F. Almeida, F.L. Kastensmidt, A. Susin, R. Reis, Combining fault tolerance and serialization effort to improve yield in 3d networks-on-chip, in 2013 IEEE 20th International Conference on Electronics, Circuits, and Systems (ICECS) (2013), pp. 125–128

    Google Scholar 

  31. Y.-J. Huang, J.-F. Li, Built-in self-repair scheme for the TSVs in 3-D ICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(10), 1600–1613 (2012)

    Article  Google Scholar 

  32. M. Tsai, A. Klooz, A. Leonard, J. Appel, P. Franzon. Through silicon via (TSV) defect/pinhole self test circuit for 3D-IC, in IEEE International Conference on 3D System Integration, (IEEE, New York, 2009), pp. 1–8

    Google Scholar 

  33. M. Palesi, R. Holsmark, S. Kumar, V. Catania, Application specific routing algorithms for networks on chip. IEEE Trans. Parallel Distrib. Syst. 20(3), 316–330 (2009)

    Article  Google Scholar 

  34. Z. Qian and C. Y. Tsui. A thermal-aware application specific routing algorithm for network-on-chip design, in 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011) (2011), pp. 449–454

    Google Scholar 

  35. Y. Ghidini, M. Moreira, L. Brahm, T. Webber, N. Calazans, C. Marcon, Lasio 3D NoC vertical links serialization: Evaluation of latency and buffer occupancy, in 26th Symposium on Integrated Circuits and Systems Design (SBCCI) (2013), pp. 1–6

    Google Scholar 

  36. NanGate Inc. Nangate open cell library 45 nm, (2016)

    Google Scholar 

  37. NCSU Electronic Design Automation. FreePDK3D45 3D-IC process design kit, (2016)

    Google Scholar 

  38. A.B. Ahmed, A. Ben Abdallah, LA-XYZ: low latency, high throughput look-ahead routing algorithm for 3D network-on-chip (3D-NoC) architecture, in IEEE 6th International Symposium on Embedded Multicore Socs (MCSoC), (IEEE, New York, September 2012), pp. 167–174

    Google Scholar 

  39. W.J. Dally, B.P. Towles, Principles and Practices of Interconnection Networks (Elsevier, Beijing, 2004)

    Google Scholar 

  40. P. Chen, K. Dai, D. Wu, J. Rao, X. Zou, The parallel algorithm implementation of matrix multiplication based on ESCA, in IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), (IEEE, New York, 2010), pp. 1091–1094

    Google Scholar 

  41. A.-M. Rahmani, K.R. Vaddina, K. Latif, P. Liljeberg, J. Plosila, H. Tenhunen, High-performance and fault-tolerant 3D noc-bus hybrid architecture using arb-net-based adaptive monitoring platform. IEEE Trans. Comput. 63(3), 734–747 (2014)

    Article  MathSciNet  MATH  Google Scholar 

  42. D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou, L. Benini, G. De Micheli, NoC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Trans. Parallel Distrib. Syst. 16(2), 113–129 (2005)

    Article  Google Scholar 

  43. K.N. Dang, M. Meyer, Y. Okuyama, A. Ben Abdallah, A low-overhead soft–hard fault-tolerant architecture, design and management scheme for reliable high-performance many-core 3D-NoC systems. J. Supercomput. 73(6), 1–25 (2017)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Abderazek Ben Abdallah .

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer Nature Singapore Pte Ltd.

About this chapter

Cite this chapter

Ben Abdallah, A. (2017). 3D Integration Technology for Multicore Systems On-Chip. In: Advanced Multicore Systems-On-Chip. Springer, Singapore. https://doi.org/10.1007/978-981-10-6092-2_6

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-6092-2_6

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-6091-5

  • Online ISBN: 978-981-10-6092-2

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics