Skip to main content

State-Of-The-Art of Advanced Packaging

  • Chapter
  • First Online:
Chiplet Design and Heterogeneous Integration Packaging

Abstract

In this chapter, advanced packaging is defined. The kinds of advanced packaging are ranked based on their interconnect density and electrical performance, and are grouped into 2D, 2.1D, 2.3D, 2.5D, and 3D IC integration, which will be presented and discussed. Chiplet design and heterogeneous integration packaging provide alternatives to the system on chips (especially for advanced nodes) will be discussed. Different substrates, such as size, pin-count, and metal linewidth and spacing for advanced packaging, are examined.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Lau, J. H. (2021). Semiconductor advanced packaging. Springer.

    Book  Google Scholar 

  2. Lau, J. H. (2022). Recent advances and trends in advanced packaging. IEEE Transactions on CPMT, 12(2), 228–252.

    Google Scholar 

  3. Lau, J. H. (Ed.). (1994). Chip on board technologies for multichip modules. Van Nostrand Reinhold.

    Google Scholar 

  4. Lau, J. H., & Pao, Y. (1997). Solder joint reliability of BGA, CSP, flip chip, and fine pitch SMT assemblies. McGraw-Hill.

    Google Scholar 

  5. Lau, J. H. (2000). Low cost flip chip technologies for DCA, WLCSP, and PBGA assemblies. McGraw-Hill.

    Google Scholar 

  6. Lau, J. H. (Ed.). (1994). Handbook of fine pitch surface mount technology. McGraw-Hill.

    Google Scholar 

  7. Lau, J. H., & Lee, N. C. (2020). Assembly and reliability of lead-free solder joints. Springer.

    Book  Google Scholar 

  8. Lau, J. H. (Ed.). (1995). Ball grid array technology. McGraw-Hill.

    Google Scholar 

  9. Lau, J. H., Wong, C. P., Prince, J., & Nakayama, W. (1998). Electronic packaging: Design, materials, process, and reliability. McGraw-Hill.

    Google Scholar 

  10. Lau, J. H. (2011). Reliability of RoHS compliant 2D & 3D IC Interconnects. McGraw-Hill.

    Google Scholar 

  11. Lau, J. H. (2013). Through-silicon via (TSV) for 3D integration. McGraw-Hill.

    Google Scholar 

  12. Lau, J. H. (2016). 3D IC integration and packaging. McGraw-Hill.

    Google Scholar 

  13. Lau, J. H. (2019). Heterogeneous integrations. Springer.

    Book  Google Scholar 

  14. Lau, J. H., & Lee, R. (1999). Chip scale package: design, materials, process, reliability, and applications. McGraw-Hill.

    Google Scholar 

  15. Lau, J. H. (2018). Fan-out wafer-level packaging. Springer.

    Book  Google Scholar 

  16. Lau, J. H. (Ed.). (1996). Flip chip technologies. McGraw-Hill.

    Google Scholar 

  17. Lau, J. H. (2016). Recent advances and new trends in flip chip technology. ASME Transactions, Journal of Electronic Packaging, 138(3), 1–23.

    Google Scholar 

  18. Lau, J. H., Zhang, Q., Li, M., Yeung, K., Cheung, Y., Fan, N., Wong, Y., Zahn, M., & Koh, M. (2015). Stencil printing of underfill for flip chips on organic-panel and Si-Wafer substrates. IEEE Transactions on CPMT, 5(7), 1027–1035.

    Google Scholar 

  19. Tong, Q., Fountain, G., & Enquist, P. (2005). Method for Low Temperature Bonding and Bonded Structure, US 6,902,987 B1, filed on February 16, 2000, granted on June 7, 2005.

    Google Scholar 

  20. Tong, Q., Fountain, G., & Enquist, P. (2008). Method for Low Temperature Bonding and Bonded Structure”, US 7,387,944 B2, filed on August 14, 2005, granted on June 17, 2008.

    Google Scholar 

  21. Kagawa, Y., Fujii, N., Aoyagi, K., Kobayashi, Y., Nishi, S., & Todaka, N. (2016). Novel stacked CMOS image sensor with advanced Cu2Cu hybrid bonding. In Proceedings of IEEE/IEDM (pp. 8–4), Dec. 2016.

    Google Scholar 

  22. Kagawa, Y., Fujii, N., Aoyagi, K., Kobayashi, Y., Nishi, S., Todaka, N., Takeshita, S., Taura, J., Takahashi, H., & Nishimura, Y. (2018). An advanced CuCu hybrid bonding for novel stack CMOS image sensor. In IEEE/EDTM Proceedings (pp. 1–3), March 2018.

    Google Scholar 

  23. Kagawa, Y., Kamibayashi, T., Yamano, Y., Nishio, K., Sakamoto, A., Yamada, T., Shimizu, K., Hirano, T., & Iwamoto, H. (2022). Development of face-to-face and face-to-back ultra-fine pitch Cu–Cu hybrid bonding. In IEEE/ECTC Proceedings (pp. 306–311), May 2022.

    Google Scholar 

  24. Gao, G., Mirkarimi, L., Fountain, G., Workman, T., Theil, J., Guevara, G., Uzoh, C., Suwito, D., Lee, B., Bang, K., & Katkar, R. (2020). Die to wafer stacking with low temperature hybrid bonding. In IEEE/ECTC Proceedings (pp. 589–594), May 2020.

    Google Scholar 

  25. Gao, G., Mirkarimi, L., Workman, T., Fountain, G., Theil, J., Guevara, G., Liu, P., Lee, B., Mrozek, P., Huynh, M., Rudolph, C., Werner, T., & Hanisch, A. (2019). Low temperature Cu interconnect with chip to wafer hybrid bonding. In IEEE/ECTC Proceedings (pp. 628–635), May 2019.

    Google Scholar 

  26. Lee, B., Mrozek, P., Fountain, G., Posthill, J., Theil, J., Gao, G., Katkar, R., & Mirkarimi, L. (2019). Nanoscale topography characterization for direct bond interconnect. In IEEE/ECTC Proceedings (pp. 1041–1046), May 2019.

    Google Scholar 

  27. Park, J., Lee, B., Lee, H., Lim, D., Kang, J., Cho, C., Na, M., & Jin, I. (2022). Wafer to wafer hybrid bonding for DRAM applications. In IEEE/ECTC Proceedings (pp. 126–129), May 2022.

    Google Scholar 

  28. Ji, L., Che, F. X., Ji, H. M., Li, H. Y., & Kawano, M. (2020). Bonding integrity enhancement in wafer to wafer fine pitch hybrid bonding by advanced numerical modeling. IEEE/ECTC Proceedings (pp. 568–575), May 2020.

    Google Scholar 

  29. Chen, M.F., Lin, C.S., Liao, E.B., Chiou, W.C., Kuo, C.C., Hu, C.C., Tsai, C.H., Wang, C.T., & Yu, D. (2020). SoIC for low-temperature, multi-layer 3D memory integration. In IEEE/ECTC Proceedings (pp. 855–860), May 2020.

    Google Scholar 

  30. Chen, M. F., Chen, F. C., Chiou, W. C., & Doug, C. H. (2019). System on integrated chips (SoICTM) for 3D heterogeneous integration. In IEEE/ECTC Proceedings (pp. 594–599), May 2019.

    Google Scholar 

  31. Cherman, V., Van Huylenbroeck, S., Lofrano, M., Chang, X., Oprins, H., Gonzalez, M., Van der Plas, G., Beyer, G., Rebibis, K. J., & Beyne, E. (2020). Thermal, mechanical and reliability assessment of hybrid bonded wafers, bonded at 2.5μm pitch. In IEEE/ECTC Proceedings (pp. 548–553), May 2020.

    Google Scholar 

  32. Kennes, K., Phommahaxay, A., Guerrero, A., Bauder, O., Suhard, S., Bex, P., Iacovo, S., Liu, X., Schmidt, T., Beyer, G., & Beyne, E. (2020). Introduction of a new carrier system for collective die-to-wafer hybrid bonding and laser-assisted die transfer. In IEEE/ECTC Proceedings (pp. 296–302), May 2020.

    Google Scholar 

  33. Van Huylenbroeck, S., De Vos, J., El-Mekki, Z., Jamieson, G., Tutunjyan, N., Muga, K., Stucchi, M., Miller, A., Beyer, G., & Beyne, E. (2019). A highly reliable 1.4μm pitch via-last TSV module for wafer-to-wafer hybrid bonded 3D-SOC systems. In IEEE/ECTC Proceedings (pp. 1035–1040), May 2019.

    Google Scholar 

  34. Suhard, S., Phommahaxay, A., Kennes, K., Bex, P., Fodor, F., Liebens, M., Slabbekoorn, J., Miller, A., Beyer, G., & Beyne, E. (2020). Demonstration of a collective hybrid die-to-wafer integration. In IEEE/ECTC Proceedings (pp. 1315–1321), May 2020.

    Google Scholar 

  35. Fisher, D.W., Knickerbocker, S., Smith, D., Katz, R., Garant, J., Lubguban, J., Soler, V., & Robson, N. (2019). Face to face hybrid wafer bonding for fine pitch applications. In IEEE/ECTC Proceedings (pp. 595–600), May 2019.

    Google Scholar 

  36. Utsumi, J., Ide, K., & Ichiyanagi, Y. (2019). Cu/SiO2 hybrid bonding obtained by surface-activated bonding method at room temperature using Si ultrathin films. Micro and Nano Engineering, 1–6.

    Google Scholar 

  37. Jouve, A., Lagoutte, E., Crochemore, R., Mauguen, G., Flahaut, T., Dubarry, C., Balan, V., Fournel, F., Bourjot, E., Servant, F., & Scannell, M. (2020). A reliable copper-free wafer level hybrid bonding technology for high-performance medical imaging sensors. In IEEE/ECTC Proceedings (pp. 201–209), May 2020.

    Google Scholar 

  38. Jani, I., Lattard, D., Vivet, P., Arnaud, L., Cheramy, S., Beigné, E., Farcy, A., Jourdon, J., Henrion, Y., Deloffre, E. and Bilgen, H. (2019). Characterization of fine pitch Hybrid Bonding pads using electrical misalignment test vehicle. In IEEE/ECTC Proceedings (pp. 1926–1932), May 2019.

    Google Scholar 

  39. Chong, S. C., Xie, L., Li, H., & Lim, S. H. (2020). Development of multi-die stacking with Cu–Cu interconnects using gang bonding approach. In IEEE/ECTC Proceedings (pp. 188–193), May 2020.

    Google Scholar 

  40. Chong, S., & Lim, S. (2019). Comprehensive study of copper nano-paste for Cu–Cu bonding. In IEEE/ECTC Proceedings (pp. 191–196), May 2019.

    Google Scholar 

  41. Mahajan, R., & Sane, S. (2021). Advanced packaging technologies for heterogeneous integration. In IEEE Hot Chip Conference, August 22–24, 2021.

    Google Scholar 

  42. Fujino, M., Takahashi, K., Araga, Y., & Kikuchi, K. (2020). 300 mm wafer-level hybrid bonding for Cu/interlayer dielectric bonding in vacuum. Japanese Journal of Applied Physics, 59, 1–8.

    Article  Google Scholar 

  43. Kim, S., Kang, P., Kim, T., Lee, K., Jang, J., Moon, K., Na, H., Hyun, S., & Hwang, K. (2019). Cu microstructure of high density Cu hybrid bonding interconnection. In IEEE/ECTC Proceedings (pp. 636–641), May 2019.

    Google Scholar 

  44. Sukegawa, S., Umebayashi, T., Nakajima, T., Kawanobe, H., Koseki, K., Hirota, I., & Haruta, T. (2013). A 1/4-inch 8Mpixel back-illuminated stacked CMOS image sensor. In Proceedings of IEEE/ISSCC (pp. 484–486). San Francisco, CA, February 2013.

    Google Scholar 

  45. Shimizu, N., Kaneda, W., Arisaka, H., Koizumi, N., Sunohara, S., Rokugawa, A., & Koyama, T. (2013). Development of organic multi chip package for high performance application. In IMAPS Proceedings of International Symposium on Microelectronics (pp. 414–419), October 2013.

    Google Scholar 

  46. Oi, K., Otake, S., Shimizu, N., Watanabe, S., Kunimoto, Y., Kurihara, T., Koyama, T., Tanaka, M., Aryasomayajula, L., & Kutlu, Z. (2014). Development of new 2.5D package with novel integrated organic interposer substrate with ultra-fine wiring and high density bumps. In IEEE/ECTC Proceedings (pp. 348–353), May 2014.

    Google Scholar 

  47. Islam, N., Yoon, S., Tan, K., & Chen, T. (2019). High density ultra-thin organic substrate for advanced flip chip packages. In IEEE/ECTC Proceedings (pp. 325–329), May 2019.

    Google Scholar 

  48. Uematsu, Y., Ushifusa, N., & Onozeki, H. (2017). Electrical transmission properties of HBM interface on 2.1-D system in package using organic interposer. In IEEE/ECTC Proceedings (pp. 1943–1949), May 2017.

    Google Scholar 

  49. Chen, W., Lee, C., Chung, M., Wang, C., Huang, S., Liao, Y., Kuo, H., Wang, C., & Tarng, D. (2018). Development of novel fine line 2.1 D package with organic interposer using advanced substrate-based process. In IEEE/ECTC Proceedings (pp. 601–606), May 2018.

    Google Scholar 

  50. Huang, C., Xu, Y., Lu, Y., Yu, K., Tsai, W., Lin, C., & Chung, C. (2018). Analysis of warpage and stress behavior in a fine pitch multi-chip interconnection with ultrafine-line organic substrate (2.1D). In IEEE/ECTC Proceedings (pp. 631–637), May 2018.

    Google Scholar 

  51. Mahajan, R., Sankman, R., Patel, N., Kim, D.W., Aygun, K., Qian, Z. (2016). Embedded multi-die interconnect bridge (EMIB)—A high-density, high-bandwidth packaging interconnect. In IEEE/ECTC Proceedings (pp. 557–565), May 2016.

    Google Scholar 

  52. Sikka, K., Bonam, R., Liu, Y., Andry, P., Parekh, D., Jain, A., Bergendahl, M., Divakaruni, R., Cournoyer, M., Gagnon, P., & Dufort, C. (2021). Direct bonded heterogeneous integration (DBHi) Si Bridge. In IEEE/ECTC Proceedings (pp. 136–147), June 2021.

    Google Scholar 

  53. Hsiung, C., & Sundarrajan, A. (2020). Methods and apparatus for wafer-level die bridge. US 10,651,126 B2, filed on December 8, 2017, Granted on May 12, 2020.

    Google Scholar 

  54. TSMC Annual Technology Symposium (August 25, 2020).

    Google Scholar 

  55. You, J., Li, J., Ho, D., Li, J., Zhuang, M., Lai, D., Key Chung, C., & Wang, Y. (2021). Electrical performances of fan-out embedded bridge. In IEEE/ECTC Proceedings (pp. 2030–2034), May 2021.

    Google Scholar 

  56. Lee, J., Yong, G., Jeong, M., Jeon, J., Han, D., Lee, M., Do, W., Shon, E., Kelly, M., Hiner, D., & Khim, J. (2021). S-connect fan-out interposer for next gen heterogeneous integration. In IEEE/ECTC Proceedings (pp. 96–100), May 2021.

    Google Scholar 

  57. Lee, L., Chang, Y., Huang, S., On, J., Lin, E., & Yang, O. (2021). Advanced HDFO packaging solutions for chiplets integration in HPC application. In IEEE/ECTC Proceedings (pp. 8–13), May 2021.

    Google Scholar 

  58. Chong, C., Lim, T., Ho, D., Yong, H., Choong, C., Lim, S., & Bhattacharya, S. (2021). Heterogeneous integration with embedded fine interconnect. In IEEE/ECTC Proceedings (pp. 2216–2221), May 2021.

    Google Scholar 

  59. Li, L., Chia, P., Ton, P., Nagar, M., Patil, S., Xue, J., DeLaCruz, J., Voicu, M., Hellings, J., Isaacson, B., Coor, M., & Havens, R. (2016). 3D SiP with organic interposer for ASIC and memory integration. In IEEE/ECTC Proceedings (pp. 1445–1450), May 2016.

    Google Scholar 

  60. Yoon, S., Tang, P., Emigh, R., Lin, Y., Marimuthu, P., & Pendse, R. (2013). Fanout Flipchip eWLB (embedded wafer level ball grid array) technology as 2.5D packaging solutions. In IEEE/ECTC Proceedings (pp. 1855–1860).

    Google Scholar 

  61. Chen, N. C., Hsieh, T., Jinn, J., Chang, P., Huang, F., Xiao, J., Chou, A., Lin, B. (2016). A novel system in package with fan-out WLP for high speed SERDES application. In IEEE/ECTC Proceedings (pp. 1496–1501), May 2016.

    Google Scholar 

  62. Lin, Y., Lai, W., Kao, C., Lou, J., Yang, P., Wang, C., Hseih, C. (2016). Wafer warpage experiments and simulation for fan-out chip on substrate. In IEEE/ECTC Proceedings (pp. 13–18), May 2016.

    Google Scholar 

  63. Yu, D. (2018). Advanced system integration technology trends. SiP Global Summit, SEMICON Taiwan, Sept. 6, 2018.

    Google Scholar 

  64. Kwon, W., Ramalingam, S., Wu, X., Madden, L., Huang, C., & Chang, H. (2014). Cost-effective and high-performance 28nm FPGA with new disruptive silicon-less interconnect technology (SLIT). In Proceedings of Symposium on Microarchitecture (pp. 599–605), October 2014.

    Google Scholar 

  65. Liang, F., Chang, H., Tseng, W., Lai, J., Cheng, S., & Ma, M. (2016). Development of non-TSV interposer (NTI) for high electrical performance package. In IEEE/ECTC Proceedings (pp. 31–36), May 2016.

    Google Scholar 

  66. Suk, K., Lee, S., Kim, J., Lee, S., Kim, H., Lee, S., Kim, P., Kim, D., Oh, D., & Byun, J. (2018). Low Cost Si-less RDL interposer package for high performance computing applications. In IEEE/ECTC Proceedings (pp. 64–69), May 2018.

    Google Scholar 

  67. You, S., Jeon, S., Oh, D., Kim, K., Kim, J., Cha, S., Kim, G. (2018). Advanced fan-out package SI/PI/thermal performance analysis of novel RDL packages. In IEEE/ECTC Proceedings (pp. 1295–1301), May 2018.

    Google Scholar 

  68. Chang, K., Huang, C., Kuo, H., Jhong, M., Hsieh, T., Hung, M., Wang, C. (2019). Ultra high density IO fan-out design optimization with signal integrity and power integrity. In IEEE/ECTC Proceedings (pp. 41–46), May 2019.

    Google Scholar 

  69. Lin, Y., Yew, M., Liu, M., Chen, S., Lai, T., Kavle, P., Lin, C., Fang, T., Chen, C., Yu, C., Lee, K., Hsu, C., Lin, P., Hsu, F., & Jeng, S. (2019). Multilayer RDL interposer for heterogeneous device and module integration. In IEEE/ECTC Proceedings (pp. 931–936), May 2019.

    Google Scholar 

  70. Miki, S., Taneda, H., Kobayashi, N., Oi, K., Nagai, K., Koyama, T. (2019). Development of 2.3D high density organic package using low temperature bonding process with Sn–Bi solder. In IEEE/ECTC Proceedings (pp. 1599–1604), May 2019.

    Google Scholar 

  71. Lau, J. H., Chen, G., Huang, J., Chou, R., Yang, C., Liu, H., & Tseng, T. (2021). Hybrid substrate by fan-out RDL-first panel-level packaging. IEEE Transactions on CPMT, 11(8), 1301–1309.

    Google Scholar 

  72. Peng, C., Lau, J. H., Ko, C., Lee, P., Lin, E., Yang, K., Lin, P., Xia, T., Chang, L., Liu, N., Lin, C., Lee, T., Wang, J., Ma, M., & Tseng, T. (2022). High-density hybrid substrate for heterogeneous integration. IEEE Transactions on CPMT, 12(3), 469–478.

    Google Scholar 

  73. Agarwal, R., Cheng, P., Shah, P., Wilkerson, B., Swaminathan, R., Wuu, J., & Mandalapu, C. (2022). 3D packaging for heterogeneous integration. In IEEE/ECTC Proceedings (pp. 1103–1107), May 2022.

    Google Scholar 

  74. Moore, S. (2022). Graphcore uses TSMC 3D Chip tech to speed AI by 40%. IEEE Spectrum.

    Google Scholar 

  75. Souriau, J., Lignier, O., Charrier, M., & Poupon, G. (2002). Wafer level processing of 3D system in package for RF and data applications. In IEEE/ECTC Proceedings (pp. 356–361), 2005.

    Google Scholar 

  76. Henry, D., Belhachemi, D., Souriau, J.-C., Brunet-Manquat, C., Puget, C., Ponthenier, G., Vallejo, J., Lecouvey, C., & Sillon, N. (2006). Low electrical resistance silicon through vias: Technology and characterization. In IEEE/ECTC Proceedings (pp. 1360–1366).

    Google Scholar 

  77. Selvanayagam, C., Lau, J. H., Zhang, X., Seah, S., Vaidyanathan, K., & Chai, T. (2009). Nonlinear thermal stress/strain analyses of copper filled TSV (through silicon via) and their flip-chip microbumps. IEEE Transactions on Advanced Packaging, 32(4), 720–728.

    Article  Google Scholar 

  78. Yu, A., Khan, N., Archit, G., Pinjala, D., Toh, K., Kripesh, V., Yoon, S., & Lau, J. H. (2009). Fabrication of silicon carriers with TSV electrical interconnections and embedded thermal solutions for high power 3-D packages. IEEE Transactions on CPMT, 32(3), 566–571.

    Google Scholar 

  79. Tang, G. Y., Tan, S., Khan, N., Pinjala, D., Lau, J. H., Yu, A., Kripesh, V., & Toh, K. (2010). Integrated liquid cooling systems for 3-D stacked TSV modules. IEEE Transactions on CPMT, 33(1), 184–195.

    Google Scholar 

  80. Khan, N., Li, H., Tan, S., Ho, S., Kripesh, V., Pinjala, D., Lau, J. H., & Chuan, T. (2013). 3-D packaging with through-silicon via (TSV) for electrical and fluidic interconnections. IEEE Transactions on CPMT, 3(2), 221–228.

    Google Scholar 

  81. Khan, N., Rao, V., Lim, S., We, H., Lee, V., Zhang, X., Liao, E., Nagarajan, R., Chai, T. C., Kripesh, V., & Lau, J. H. (2010). Development of 3-D silicon module with TSV for system in packaging. IEEE Transactions on CPMT, 33(1), 3–9.

    Google Scholar 

  82. Chai, T. C., Zhang, X., Lau, J. H., Selvanayagam, C. S., & Pinjala, D. (2011). Development of large die fine-pitch Cu/low-k FCBGA package with through silicon via (TSV) interposer. IEEE Transactions on CPMT, 1(5), 660–672.

    Google Scholar 

  83. Lau, J. H., Lee, S., Yuen, M., Wu, J., Lo, C., Fan, H., & Chen, H. (2010). Apparatus having thermal-enhanced and cost-effective 3D IC integration structure with through silicon via interposer. US Patent No: 8,604,603, Filed Date: February 19, 2010, Date of Patent: December 10, 2013.

    Google Scholar 

  84. Lau, J. H., Zhang, M. S., & Lee, S. W. R. (2011). Embedded 3D hybrid IC integration system-in-package (SiP) for opto-electronic interconnects in organic substrates. ASME Transactions, Journal of Electronic Packaging, 133, 1–7.

    Article  Google Scholar 

  85. Chien, H. C., Lau, J. H., Chao, Y., Tain, R., Dai, M., Wu, S. T., Lo, W., & Kao, M. J. (2012). Thermal performance of 3D IC integration with through-silicon via (TSV). IMAPS Transactions, Journal of Microelectronic Packaging, 9, 97–103.

    Article  Google Scholar 

  86. Lau, J. H. (2011). Overview and outlook of TSV and 3D integrations. Journal of Microelectronics International, 28(2), 8–22.

    Article  Google Scholar 

  87. Lau, J. H. (2010). Critical issues of 3D IC integrations. IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, First Quarter Issue (pp. 35–43).

    Google Scholar 

  88. Lau, J. H. (2010). Design and process of 3D MEMS packaging. IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, First Quarter Issue, 10–15.

    Google Scholar 

  89. Lau, J. H., Lee, R., Yuen, M., & Chan, P. (2010). 3D LED and IC wafer level packaging. Journal of Microelectronics International, 27(2), 98–105.

    Article  Google Scholar 

  90. Sheu, S., Lin, Z., Hung, J., Lau, J. H., Chen, P., Wu, S., Su, K., Lin, C., Lai, S., Ku, T., Lo, W., Kao, M. (2011). An electrical testing method for blind through silicon vias (TSVs) for 3D IC integration. IMAPS Transactions, Journal of Microelectronic Packaging, 8(4), 140–145.

    Google Scholar 

  91. Chen, J. C., Lau, J. H., Tzeng, P. J., Chen, S., Wu, C., Chen, C., Yu, H., Hsu, Y., Shen, S., Liao, S., Ho, C., Lin, C., Ku, T. K., & Kao, M. J. (2012). Effects of slurry in Cu chemical mechanical polishing (CMP) of TSVs for 3-D IC integration. IEEE Transactions on CPMT, 2(6), 956–963.

    Google Scholar 

  92. Lau, J. H., & Tang, G. Y. (2012). Effects of TSVs (through-silicon vias) on thermal performances of 3D IC integration system-in-package (SiP). Journal of Microelectronics Reliability, 52(11), 2660–2669.

    Article  Google Scholar 

  93. Wu, C., Chen, S., Tzeng, P., Lau, J. H., Hsu, Y., Chen, J., Hsin, Y., Chen, C., Shen, S., Lin, C., Ku, T., & Kao, M. (2012). Oxide liner, barrier and seed layers, and Cu-plating of blind through silicon vias (TSVs) on 300mm wafers for 3D IC integration. IMAPS Transactions, Journal of Microelectronic Packaging, 9(1), 31–36.

    Google Scholar 

  94. Lau, J. H., Tzeng, P., Lee, C., Zhan, C., Li, M., Cline, J., Saito, K., Hsin, Y., Chang, P., Chang, Y., Chen, J., Chen, S., Wu, C., Chang, H., Chien, C., Lin, C., Ku, T., Lo, R., & Kao, M. (2014). Redistribution layers (RDLs) for 2.5D/3D IC integration. IMAPS Transactions, Journal of Microelectronic Packaging, 11(1), 16–24.

    Google Scholar 

  95. Lau, J. H., Lee, C., Zhan, C., Wu, S., Chao, Y., Dai, M., Tain, R., Chien, H., Hung, J., Chien, C., Cheng, R., Huang, Y., Lee, Y., Hsiao, Z., Tsai, W., Chang, P., Fu, H., Cheng, Y., Liao, L., … Kao, M. (2014). Low-cost through-silicon hole interposers for 3D IC integration. IEEE Transactions on CPMT, 4(9), 1407–1419.

    Google Scholar 

  96. Hsieh, M. C., Wu, S. T., Wu, C. J., & Lau, J. H. (2014). Energy release rate estimation for through silicon vias in 3-D integration. IEEE Transactions on CPMT, 4(1), 57–65.

    Google Scholar 

  97. Lee, C. C., Wu, C. S., Kao, K. S., Fang, C. W., Zhan, C. J., Lau, J. H., & Chen, T. H. (2013). Impact of high density TSVs on the assembly of 3D-ICs packaging. Microelectronic Engineering, 107, 101–106.

    Article  Google Scholar 

  98. Banijamali, B., Ramalingam, S., Nagarajan, K., & Chaware, R. (2011). Advanced reliability study of TSV interposers and interconnects for the 28 nm technology FPGA. In Proceedings of IEEE/ECTC (pp. 285–290), May 2011.

    Google Scholar 

  99. Kim, N., Wu, D., Kim, D., Rahman, A., & Wu, P. (2011). Interposer design optimization for high frequency signal transmission in passive and active interposer using through silicon via (TSV). In IEEE/ECTC Proceedings (pp. 1160–1167), May 2011.

    Google Scholar 

  100. Banijamali, B., Ramalingam, S., Kim, N., Wyland, C., Kim, N., Wu, D., Carrel, J., Kim, J., & Wu, P. (2011). Ceramics vs. low-CTE organic packaging of TSV silicon interposers. In IEEE/ECTC Proceedings (pp. 573–576), May 2011.

    Google Scholar 

  101. Chaware, R., Nagarajan, K., & Ramalingam, S. (2012). Assembly and reliability challenges in 3D integration of 28 nm FPGA die on a large high density 65 nm passive interposer. In Proceedings of IEEE/ECTC (pp. 279–283), May 2012, San Diego, CA.

    Google Scholar 

  102. Banijamali, B., Ramalingam, S., Liu, H., & Kim, M. (2012). Outstanding and innovative reliability study of 3D TSV interposer and fine pitch solder micro-bumps. In Proceedings of IEEE/ECTC (pp. 309–314), San Diego, CA, May 2012.

    Google Scholar 

  103. Banijamali, B., Chiu, C., Hsieh, C., Lin, T., Hu, C., & Hou, S. (2013). Reliability evaluation of a CoWoS-enabled 3D IC package. In IEEE/ECTC Proceedings (pp. 35–40), May 2013.

    Google Scholar 

  104. Kwon, W., Kim, M., Chang, J., Ramalingam, S., Madden, L., Tsai, G., Tseng, S., Lai, J., Lu, T., & Chin, S. (2013). Enabling a manufacturable 3D technologies and ecosystem using 28 nm FPGA with stack silicon interconnect technology. In IMAPS Proceedings of International Symposium on Microelectronics (pp. 217–222), Orlando, FL, October 2013.

    Google Scholar 

  105. Banijamali, B., Lee, T., Liu, H., Ramalingam, S., Barber, I., Chang, J., Kim, M., & Yip, L. (2015). Reliability evaluation of an extreme TSV interposer and interconnects for the 20 nm technology CoWoS IC package. In IEEE/ECTC Proceedings (pp. 276–280), May 2015.

    Google Scholar 

  106. Hariharan, G., Chaware, R., Singh, I., Lin, J., Yip, L., Ng, K., & Pai, S. (2015). A comprehensive reliability study on a CoWoS 3D IC package. In IEEE/ECTC Proceedings (pp. 573–577), May 2015.

    Google Scholar 

  107. Chaware, R., Hariharan, G., Lin, J., Singh, I., O’Rourke, G., Ng, K., Pai, S., Li, C., Huang, Z., & Cheng, S. (2015). Assembly challenges in developing 3D IC package with ultra high yield and high reliability. In IEEE/ECTC Proceedings (pp. 1447–1451), May 2015.

    Google Scholar 

  108. Xu, J., Niu, Y., Cain, S., McCann, S., Lee, H., Ahmed, G., & Park, S. (2018). The experimental and numerical study of electromigration in 2.5D packaging. In IEEE/ECTC Proceedings (pp. 483–489), May 2018.

    Google Scholar 

  109. McCann, S., Lee, H., Ahmed, G., Lee, T., Ramalingam, S. (2018). Warpage and reliability challenges for stacked silicon interconnect technology in large packages. In IEEE/ECTC Proceedings (pp. 2339–2344), May 2018.

    Google Scholar 

  110. Wang, H., Wang, J., Xu, J., Pham, V., Pan, K., Park, S., Lee, H., & Ahmed, G. (2019). Product level design optimization for 2.5D package pad cratering reliability during drop impact. In IEEE/ECTC Proceedings (pp. 2343–2348), May 2019.

    Google Scholar 

  111. Xie, J., Shi, H., Li, Y., Li, Z., Rahman, A., Chandrasekar, K., Ratakonda, D., Deo, M., Chanda, K., Hool, V., Lee, M., Vodrahalli, N., Ibbotson, D., & Verma, T. (2012). Enabling the 2.5D integration. In Proceedings of IMAPS International Symposium on Microelectronics (pp. 254–267), September 2012, San Diego, CA.

    Google Scholar 

  112. Li, Z., Shi, H., Xie, J., & Rahman, A. (2012). Development of an optimized power delivery system for 3D IC integration with TSV silicon interposer. In Proceedings of IEEE/ECTC (pp. 678–682), May 2012.

    Google Scholar 

  113. Hou, S., Chen, W., Hu, C., Chiu, C., Ting, K., Lin, T., Wei, W., Chiou, W., Lin, V., Chang, V., Wang, C., Wu, C., & Yu, D. (2017). Wafer-level integration of an advanced logic-memory system through the second-generation CoWoS technology. IEEE Transactions on Electron Devices, 4071–4077.

    Google Scholar 

  114. http://press.xilinx.com/2013-10-20-Xilinx-and-TSMCReach-Volume-Production-on-all-28nm-CoWoS-based-All-Programmable-3D-IC-Families

  115. Chen, W., Lin, C., Tsai, C., Hsia, H., Ting, K., Hou, S., Wang, C., & Yu, D. (2020). Design and analysis of logic-HBM2E power delivery system on CoWoS® platform with deep trench capacitor. In IEEE/ECTC Proceedings (pp. 380–385), May 2020.

    Google Scholar 

  116. Bhuvanendran, S., Gourikutty, N., Chua, K., Alton, J., Chinq, J., Umralkar, R., Chidambaram1, V., & Bhattacharya, S. (2020). Non-destructive fault isolation in through-silicon interposer based system in package. In IEEE/EPTC Proceedings (pp. 281–285), December 2020.

    Google Scholar 

  117. Sirbu, B., Eichhammer, Y., Oppermann, H., Tekin, T., Kraft, J., Sidorov, V., Yin, X., Bauwelinck, J., Neumeyr, C., & Soares, F. (2020). 3D silicon photonics interposer for Tb/s optical interconnects in data centers with double-side assembled active components and integrated optical and electrical through silicon via on SOI. In IEEE/ECTC Proceedings (pp. 1052–1059), May 2020.

    Google Scholar 

  118. Tanaka, M., Kuramochi, S., Dai, T., Sato, Y., & Kidera, N. (2020). High frequency characteristics of glass interposer. In IEEE/ECTC Proceedings (pp. 601–610), May 2020.

    Google Scholar 

  119. Iwai, T., Sakai, T., Mizutani, D., Sakuyama, S., Iida, K., Inaba, T., Fujisaki, H., Tamura, A., & Miyazawa, Y. (2020). Multilayer glass substrate with high density via structure for all inorganic multi-chip module. In IEEE/ECTC Proceedings (pp. 1952–1957), May 2020.

    Google Scholar 

  120. Ding, Q., Liu, H., Huan, Y., & Jiang, J. (2020). High bandwidth low power 2.5D interconnect modeling and design. In IEEE/ECTC Proceedings (pp. 1832–1837), May 2020.

    Google Scholar 

  121. Kim, M., Liu, H., Klokotov, D., Wong, A., To, T., & Chang, J. (2020). Performance improvement for FPGA due to interposer metal insulator metal decoupling capacitors (MIMCAP). In IEEE/ECTC Proceedings (pp. 386–392), May 2020.

    Google Scholar 

  122. Bhuvanendran, S., Gourikutty, N., Chow, Y., Alton, J., Umralkar, R., Bai, H., Chua, K., & Bhattacharya, S. (2020). Defect localization in through-si-interposer based 2.5DICs. In IEEE/ECTC Proceedings (pp. 1180–1185), May 2020.

    Google Scholar 

  123. Hsiao, Y., Hsu, C., Lin, Y., & Chien, C. (2019). Reliability and benchmark of 2.5D non-molding and molding technologies. In IEEE/ECTC Proceedings (pp. 461–466), May 2019.

    Google Scholar 

  124. Ma, M., Chen, S., Lai, J., Lu, T., & Chen, A., et al. (2016). Development and technological comparison of various die stacking and integration options with TSV Si interposer (pp. 336–342).

    Google Scholar 

  125. Okamoto, D., Shibasaki, Y., Shibata, D., Hanada, T., Liu, F., Kathaperumal, M., & Tummala, R. (2019). Fabrication and reliability demonstration of 3 μm diameter photo vias at 15 μm pitch in thin photosensitive dielectric dry film for 2.5 D glass interposer applications. In IEEE/ECTC Proceedings (pp. 2112–2116), May 2019.

    Google Scholar 

  126. Ravichandran, S., Yamada, S., Park, G., Chen, H., Shi, T., Buch, C., Liu, F., Smet, V., Sundaram, V., & Tummala, R. (2018). 2.5D glass panel embedded (GPE) packages with better I/O density, performance, cost and reliability than current silicon interposers and high-density fan-out packages. In IEEE/ECTC Proceedings (pp. 625–630), May 2018.

    Google Scholar 

  127. Ma, M., S. Chen, J. Lai, T. Lu, A. Chen, et al. (2016). Development and Technological Comparison of Various Die Stacking and Integration Options with TSV Si Interposer. In IEEE/ECTC Proceedings (pp. 336-342), May 2016.

    Google Scholar 

  128. Zhang, X., Lin, J., Wickramanayaka, S., Zhang, S., Weerasekera, R., Dutta, R., Chang, K., Chui, K., Li, H., Ho, D., Ding, L., Katti, G., Bhattacharya, S., & Kwong, D. (2015). Heterogeneous 2.5D integration on through silicon interposer. Applied Physics Reviews, 2, 0213081–02130856.

    Google Scholar 

  129. Cai, H., Ma, S., Zhang, J., Xiang, W., Wang, W., Jin, Y., Chen, J., Hu, L., & He, S. (2018). Thermal and electrical characterization of TSV interposer embedded with microchannel for 2.5D integration of GaN RF devices. In IEEE/ECTC Proceedings (pp. 2150–2156), May 2018.

    Google Scholar 

  130. Hong, J., Choi, K., Oh, D., Shao, S., Wang, H., Niu, Y., & Pham, V. (2018). Design guideline of 2.5D package with emphasis on warpage control and thermal management. In IEEE/ECTC Proceedings (pp. 682–692), May 2018.

    Google Scholar 

  131. Nair, C., DeProspo, B., Hichri, H., Arendt, M., Liu, F., Sundaram, V., & Tummala, R. (2018). Reliability studies of excimer laser-ablated microvias below 5 micron diameter in dry film polymer dielectrics for next generation, panel-scale 2.5D interposer RDL. In IEEE/ECTC Proceedings (pp. 1005–1009), May 2018.

    Google Scholar 

  132. Lai, C., Li, H., Peng, S., Lu, T., & Chen, S. (2017). Warpage study of large 2.5D IC chip module. In IEEE/ECTC Proceedings (pp. 1263–1268), May 2017.

    Google Scholar 

  133. Shih, M., Hsu, C., Chang, Y., Chen, K., Hu, I., Lee, T., Tarng, D., & Hung, C. (2017). Warpage characterization of glass interposer package development. In IEEE/ECTC Proceedings (pp. 1392–1397), May 2017.

    Google Scholar 

  134. Agrawal, A., Huang, S., Gao, G., Wang, L., DeLaCruz, J., & Mirkarimi, L. (2017). Thermal and electrical performance of direct bond interconnect technology for 2.5D and 3D integrated circuits. In IEEE/ECTC Proceedings (pp. 989–998), May 2017.

    Google Scholar 

  135. Choi, S., Park, J., Jung, D., Kim, J., Kim, H., Kim, K. (2017). Signal integrity analysis of silicon/glass/organic interposers for 2.5D/3D interconnects. In IEEE/ECTC Proceedings (pp. 2139–2144), May 2017.

    Google Scholar 

  136. Wang, X., Ren, Q., & Kawano, M. (2020). Yield improvement of silicon trench isolation for one-step TSV. In IEEE/EPTC Proceedings (pp. 22–26), December 2020.

    Google Scholar 

  137. Ren, Q., Loh, W., Neo, S., & Chui, K. (2020). Temporary bonding and de-bonding process for 2.5D/3D applications. In IEEE/EPTC Proceedings (pp. 27–31), December 2020.

    Google Scholar 

  138. Chuan, P., & Tan, S. (2020). Glass substrate interposer for TSV-integrated surface electrode ion trap. In IEEE/EPTC Proceedings (pp. 262–265), December 2020.

    Google Scholar 

  139. Loh, W., & Chui, K. (2020). Wafer warpage evaluation of through Si interposer (TSI) with different temporary bonding materials. In IEEE/EPTC Proceedings (pp. 268–272), December 2020.

    Google Scholar 

  140. Lim, S., Rao, V., Hnin, W., Ching, W., Kripesh, V., Lee, C., Lau, J. H., Milla, J., & Fenner, A. (2010). Process development and reliability of microbumps. IEEE Transactions on CPMT, 33(4), 747–753.

    Google Scholar 

  141. Yoon, S., Tang, P., Emigh, R., Lin, Y., Marimuthu, P. C., & Pendse, R. (2013). Fanout flipchip eWLB (embedded wafer level ball grid array) technology as 2.5D packaging solutions. In Proceedings of IEEE/ECTC (pp. 1855–1860), May 2013.

    Google Scholar 

  142. Tseng, C.-F., Liu, C.-S., Wu, C.-H., & Yu, D. (2016). InFO (wafer level integrated fan-out) technology. In Proceedings of IEEE/ECTC (pp. 1–6), May 2016.

    Google Scholar 

  143. Hsieh, C.-C., Wu, C.-H., & Yu, D. (2016). Analysis and comparison of thermal performance of advanced packaging technologies for state-of-the-art mobile applications. In Proceedings of IEEE/ECTC (pp. 1430–1438), May 2016.

    Google Scholar 

  144. Kim, J., Choi, I., Park, J., Lee, J., Jeong, T., Byun, J., Ko, Y., Hur, K., Kim, D., & Oh, K. (2018). Fan-out panel level package with fine pitch pattern. In IEEE/ECTC Proceedings (pp. 52–57), May 2018.

    Google Scholar 

  145. Yu, A. B., Lau, J. H., Ho, S., Kumar, A., Hnin, W., Lee, W., & Jong, M. (2011). Fabrication of high aspect ratio TSV and assembly with fine-pitch low-cost solder microbump for si interposer technology with high-density interconnects. IEEE Transactions on CPMT, 1(9), 1336–1344.

    Google Scholar 

  146. Ingerly, D., Amin, S., Aryasomayajula, L., Balankutty, A., Borst, D., Chandra, A., Cheemalapati, K., Cook, C., Criss, R., Enamul1, K., Gomes, W., Jones, D., Kolluru, K., Kandas, A., Kim, G., Ma, H., Pantuso, D., Petersburg, C., Phen-givoni, M., Pillai, A., Sairam, A., Shekhar, P., Sinha, P., Stover, P., Telang, A., & Zell, Z. (2019). Foveros: 3D integration and the use of face-to-face chip stacking for logic devices. In IEEE/IEDM Proceedings (pp. 19.6.1–19.6.4), December 2019.

    Google Scholar 

  147. Gomes, W., Khushu, S., Ingerly, D. B., Stover, P. N., Chowdhury, N. I., O'Mahony, F., Balankutty, A., Dolev, N., Dixon, M. G., Jiang, L., & Prekke, S. (2020). Lakefield and mobility computer: A 3D stacked 10nm and 2FFL hybrid processor system in 12×12mm2, 1mm package-on-package. In IEEE/ISSCC Proceedings (pp. 40–41), February 2020.

    Google Scholar 

  148. WikiChip. (2020). A Look at Intel Lakefield: A 3D-Stacked Single-ISA Heterogeneous Penta-Core SoC. https://en.wikichip.org/wiki/chiplet

  149. Intel Architecture Day, August 13, 2020.

    Google Scholar 

  150. Gelsinger, P. (2021). Engineering the future. Intel Unleashed Webcast, March 23, 2021.

    Google Scholar 

  151. Chen, Y. H., Yang, C. A., Kuo, C. C., Chen, M. F., Tung, C. H., Chiou, W. C., & Yu, D. (2020). Ultra high density soic with sub-micron bond pitch. In IEEE/ECTC Proceedings (pp. 576–581), May 2020.

    Google Scholar 

  152. Ko, T., Pu, H. P., Chiang, Y., Kuo, H. J., Wang, C. T., Liu, C. S., & Yu, D. C. (2020). Applications and reliability study of InFO_UHD (Ultra-High-Density) technology. In IEEE/ECTC Proceedings (pp. 1120–1125), May 2020.

    Google Scholar 

  153. Chuang, P., Lin, M., Hung, S., Wu, Y., Wong, D., Yew, M., Hsu, C., Liao, L., Lai, P., Tsai, P., Chen, S., Cheng, S., & Jeng, S. (2020). Hybrid fan-out package for vertical heterogeneous integration. IEEE/ECTC Proceedings (pp. 333–338), May 2020.

    Google Scholar 

  154. Naffziger, S., Lepak, K., Paraschour, M., & Subramony, M. (2020). AMD chiplet architecture for high-performance server and desktop products. IEEE/ISSCC Proceedings (pp. 44–45), February 2020.

    Google Scholar 

  155. Naffziger, S. (2020). Chiplet meets the real world: Benefits and limits of chiplet designs. In Symposia on VLSI Technology and Circuits (pp. 1–39).

    Google Scholar 

  156. Stow, D., Xie, Y., Siddiqua, T., & Loh, G. (2017). Cost-effective design of scalable high-performance systems using active and passive interposers. IEEE/ICCAD Proceedings (pp. 1–8), November 2017.

    Google Scholar 

  157. Su, L. (2021). AMD accelerating—The high-performance computing ecosystem. In Proceedings of Keynote at Computex.

    Google Scholar 

  158. Swaminathan, R. (2021). Advanced packaging: Enabling Moore’s Law’s next frontier through heterogeneous integration. In IEEE Hot Chip Conference, August 22–24, 2021.

    Google Scholar 

  159. Lin, J., Chung, C., Lin, C., Liao, A., Lu, Y., Chen, J., & Ng, D. (2020). Scalable chiplet package using fan-out embedded bridge. In IEEE/ECTC Proceedings (pp. 14–18), May 2020.

    Google Scholar 

  160. Coudrain, P., Charbonnier, J., Garnier, A., Vivet, P., Vélard, R., Vinci, A., Ponthenier, F., Farcy, A., Segaud, R., Chausse, P., Arnaud, L., Lattard, D., Guthmuller, E., Romano, G., Gueugnot, A., Berger, F., Beltritti, J., Mourier, T., Gottardi, M., … Simon, G. (2019). Active interposer technology for chiplet-based advanced 3D system architectures. In IEEE/ECTC Proceedings (pp. 569–578), May 2019.

    Google Scholar 

  161. IEEE/SEMI/ASME, “Heterogeneous Integration Roading”, eps.ieee.org/hir.

    Google Scholar 

  162. Lau, J. H. (2021). State-of-the-art and outlooks of chiplets heterogenous integration and hybrid bonding. IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, 18, 148–160.

    Google Scholar 

  163. https://www.tomshardware.com/, April 16, 2021.

  164. https: //www.extremetech.com/computing/272096-3nm-process-node, March 13,2020.

  165. https://en.wikichip.org/wiki/chiplet, March 27, 2020.

  166. https://www.netronome.com/blog/its-time-disaggregated-silicon/, March 12, 2020.

  167. Lau, J. H., & Lee, S.-W. R. (1999). Chip scale package: Design, materials, process, reliability, and applications. McGraw-Hill.

    Google Scholar 

  168. Lau, J. H., Ko, C., Tseng, T., Yang, K., Peng, C., Xia, T., Lin, P., Lin, E., Chang, L., Liu, H., & Cheng, D. (2020). Panel-level chip-scale package with multiple diced wafers. IEEE Transactions on CPMT, 10(7), 1110–1124.

    Google Scholar 

  169. Lau, J. H., Ko, C., Tseng, T., Peng, T., Yang, K., Xia, T., Lin, P., Lin, E., Chang, L., Liu, H., Lin, C., Cheng, D., & Lu, W. (2020). Six-side molded panel-level chip-scale package with multiple diced wafers. IMAPS Transactions Journal of Microelectronics and Electronic Packaging, 17(4), 111–120.

    Article  Google Scholar 

  170. Lau, J. H., Ko, C., Peng, C., Tseng, T., Yang, K., Xia, T., Lin, P., Lin, E., Chang, L., Liu, H., Lin, C., Fan, Y., Cheng, D., & Lu, W. (2021). Reliability of 6-side molded panel-level chip scale packages (PLCSPs). In IEEE/ECTC Proceedings (pp. 885–894), May 2021.

    Google Scholar 

  171. Elenius, P., & Hollack, H. (2001). Method for forming chip scale package, US patent 6,287,893, filed on July 13, 1998; patented on September 11, 2001.

    Google Scholar 

  172. Yasunaga, M. (1994). Chip-scale package: a lightly dressed LSI chip. In Proceedings of IEEE/CPMT IEMTS (pp. 169–176).

    Google Scholar 

  173. Marcoux, P. (1994). A minimal packaging solution for known good die and direct chip attachment. In Proceedings of SMTC (pp. 19–26).

    Google Scholar 

  174. Chanchani, R. (1995). A new mini ball grid array (m-BGA) multichip module technology. In Proceedings of NEPCON West (pp. 938–945).

    Google Scholar 

  175. Badihi, A. (1995). Shellcase—A true miniature integrated circuit package. In Proceedings of International FC, BGA, Advanced Packaging Symposium (pp. 244–252).

    Google Scholar 

  176. Baba, S., et al. (1996). Molded chip-scale package for high pin count. In Proceedings of IEEE/ECTC (pp. 1251–1257).

    Google Scholar 

  177. Topper, M. (1996). Redistribution technology for chip scale package using photosensitive BCB. In Future Fab International (pp. 363–368).

    Google Scholar 

  178. Elenius, P. (1997). FC2SP-(flip chip-chip size package). In Proceedings of NEPCON West (pp. 1524–1527).

    Google Scholar 

  179. Auersperg, J. (1997). Reliability evaluation of chip-scale packages by FEA and microDAC. In Proceedings of symposium on design and reliability of solder and solder interconnections (pp. 439–445). TMS Annual Meeting.

    Google Scholar 

  180. DiStefano, T. (1997). Wafer-level fabrication of IC packages. In Chip Scale Review (pp. 20–27).

    Google Scholar 

  181. Kohl, J. E. (1997). Low-cost chip scale packaging and interconnect technology. In Proceedings of the CSP Symposium (pp. 37–43).

    Google Scholar 

  182. Elenius, P. (1998). Flip-chip bumping for IC packaging contractors. In Proceedings of NEPCON West (pp. 1403–1407).

    Google Scholar 

  183. Lau, J. H., & Lee, S. W. R. (1999). Chip scale package. McGraw-Hill Book Company.

    Google Scholar 

  184. Lau, J. H., Chung, T., Lee, R., Chang, C., & Chen, C. (1999). A novel and reliable wafer-level chip scale package (WLCSP). In Proceedings of the Chip Scale International Conference (pp. H1–8), SEMI, September 1999.

    Google Scholar 

  185. Lau, J. H., Lee, S. W. R., & Chang, C. (2000). Solder joint reliability of wafer level chip scale packages (WLCSP): A time-temperature-dependent creep analysis. ASME Transactions, Journal of Electronic Packaging, 122(4), 311–316.

    Article  Google Scholar 

  186. Lau, J. H. (2002). Critical issues of wafer level chip scale package (WLCSP) with emphasis on cost analysis and solder joint reliability. IEEE Transactions on Electronics Packaging Manufacturing, 25(1), 42–50.

    Article  Google Scholar 

  187. Lau, J. H., & Lee, R. (2002). Effects of build-up printed circuit board thickness on the solder joint reliability of a wafer level chip scale package (WLCSP). IEEE Transactions on Components & Packaging Technologies, 25(1), 3–14.

    Article  Google Scholar 

  188. Lau, J. H., Pan, S., & Chang, C. (2002). A new thermal-fatigue life prediction model for wafer level chip scale package (WLCSP) solder joints. ASME Transactions, Journal of Electronic Packaging, 124, 212–220.

    Article  Google Scholar 

  189. Lau, J. H., & Lee, R. (2002). Modeling and analysis of 96.5Sn-3.5Ag lead-free solder joints of wafer level chip scale package (WLCSP) on build-up microvia printed circuit board. IEEE Transactions on Electronics Packaging Manufacturing, 25(1), 51–58.

    Article  Google Scholar 

  190. Lau, J. H., Lee, R., Pan, S., & Chang, C. (2002). Nonlinear time-dependent analysis of micro via-in-pad substrates for solder bumped flip chip applications. ASME Transactions, Journal of Electronic Packaging, 124, 205–211.

    Article  Google Scholar 

  191. Lau, J. H., Chang, C., & Lee, R. (2001). Solder joint crack propagation analysis of wafer-level chip scale package on printed circuit board assemblies. IEEE Transactions on Components & Packaging Technologies, 24(2), 285–292.

    Article  Google Scholar 

  192. Lau, J. H., & Lee, R. (2001). Computational analysis on the effects of double-layer build-up printed circuit board on the wafer level chip scale package (WLCSP) assembly with Pb-free solder joints. International Journal of Microcircuits & Electronic Packaging, IMAPS Transactions, 24(2), 89–104.

    Google Scholar 

  193. Lau, J. H., & Lee, R. (2001). Effects of Microvia build-up layers on the solder joint reliability of a wafer level chip scale package (WLCSP). In IEEE Proceedings of Electronic Components & Technology Conference (pp. 1207–1215), May 29-June 1, Orlando, Florida, U.S.A., 2001.

    Google Scholar 

  194. Lau, J. H., and Lee, R. (2001). Reliability of 96.5Sn-3.5Ag lead-free solder-bumped wafer level chip scale package (WLCSP) on build-up microvia printed circuit board. In Proceedings of the 2nd International Conference on High Density Interconnect and System Packaging (pp. 314–322), April 17–20, Santa Clara, California, U.S.A., 2001.

    Google Scholar 

  195. Lau, J. H., & Lee, R. (2000). Effects of build-up printed circuit board thickness on the solder joint reliability of a wafer level chip scale package (WLCSP). In Proceeding of the International Symposium on Electronic Materials & Packaging, November 30-December 2, Kowloon, Hong Kong, 2000, pp. 115–126.

    Google Scholar 

  196. Lau, J. H., Pan, S., & Chang, C. (2000). Nonlinear fracture mechanics analysis of wafer-level chip scale package solder joints with creaks. In Proceedings of IMAPS Microelectronics Conference (pp. 857–865), Boston, MA, September 2000.

    Google Scholar 

  197. Lau, J. H., & Lee, R. (2000). Reliability of wafer level chip scale package (WLCSP) with 96.5Sn-3.5Ag lead-free solder joints on build-up microvia printed circuit board. In Proceeding of the International Symposium on Electronic Materials & Packaging (pp. 55–63), November 30-December 2, Kowloon, Hong Kong.

    Google Scholar 

  198. Lau, J. H., Pan, S., & Chang, C. (2000). A new thermal-fatigue life prediction model for wafer level chip scale package (WLCSP) solder joints. In Proceeding of the 12th Symposium on Mechanics of SMT & Photonic Structures (pp. 91–101), ASME International Mechanical Engineering Congress & Exposition, November 5–10, Orlando, Florida, USA, 2000.

    Google Scholar 

  199. Lau, J. H., Pan, S., & Chang, C. (2000). Creep analysis of wafer level chip scale packages (WLCSP) with 96.5Sn-3.5Ag and 100In lead-free solder joints and Microvia build-up printed circuit board. In Proceeding of the 12th Symposium on Mechanics of SMT & Photonic Structures (pp. 79–89), ASME International Mechanical Engineering Congress & Exposition, November 5–10, Orlando, Florida, USA, 2000.

    Google Scholar 

  200. Lau, J. H., Chang, C., & Lee, R. (2000). Solder joint crack propagation analysis of wafer-level chip scale package on printed circuit board assemblies. In IEEE Proceeding of the 50th Electronic Components & Technology Conference (pp. 1360–1368), Las Vegas, NA, 2000.

    Google Scholar 

  201. Lau, J. H. & Lee, R. (2000). Fracture mechanics analysis of low cost solder bumped flip chip assemblies with imperfect underfills. In Proceedings of NEPCON West (pp. 653–660), Anaheim, CA, 2000.

    Google Scholar 

  202. Lau, J. H., Chung, T., Lee, T., R., & Chang, C. (2000). A low cost and reliable wafer level chip scale package. In Proceedings of NEPCON West (pp. 920–927), Anaheim, CA, 2000.

    Google Scholar 

  203. Lau, J. H., Lee, S. W. R., Ouyang, C., Chang, C., & Chen, C. C. (1999). Solder joint reliability of wafer level chip scale packages (WLCSP): A time-temperature-dependent creep analysis ASME winter annual meeting. In ASME Paper No. 99-IMECE/EEP-5, Nashville, TN, 1999.

    Google Scholar 

  204. Lau, J. H., Ouyang, C., & Lee, R. (1999). A novel and reliable wafer-level chip scale package (WLCSP). In Proceedings of Chip Scale International Conference (pp. H1–H9), San Jose, CA, September 1999.

    Google Scholar 

  205. Chen, C., Chen, K. H., Wu, Y. S., Tsao, P. H., & Leu, S. T. (2020). WLCSP solder ball interconnection enhancement for high temperature stress reliability. In IEEE/ECTC Proceedings (pp. 1212–1217), May 2020.

    Google Scholar 

  206. Zhang, H., Wu, Z., Malinowski. J., Carino, M., Young-Fisher, K., Trewhella, J., & Justison, P. (2020). 45RFSOI WLCSP board level package risk assessment and solder joint reliability performance improvement. In IEEE/ECTC Proceedings (pp. 2151–2156), May 2020.

    Google Scholar 

  207. Ma, S., Liu, Y., Zheng, F., Li, F., Yu, D., Xiao, A., & Yang, X. (2020). Development and reliability study of 3D WLCSP for automotive CMOS image sensor using TSV technology. In IEEE/ECTC Proceedings (pp. 461–466), May 2020.

    Google Scholar 

  208. Machani, K., Kuechenmeister, F., Breuer, D., Klewer, C., Cho, J., & Fisher, K. (2020). Chip package interaction (CPI) risk assessment of 22FDX® wafer level chip scale package (WLCSP) using 2D finite element analysis modeling. In IEEE/ECTC Proceedings (pp. 1100–1105), May 2020.

    Google Scholar 

  209. Chiu, J., Chang, K. C., Hsu, S., Tsao, P., & Lii, M. J. (2019). WLCSP package and PCB design for board level reliability. In IEEE/ECTC Proceedings (pp. 763–767), May 2019.

    Google Scholar 

  210. Yu, D., Zou, Y., Xu, X., Shi, A., Yang, X., & Xiao, Z. (2019). Development of 3D WLCSP with black shielding for optical finger print sensor for the application of full screen smart phone. In IEEE/ECTC Proceedings (pp. 884–889), May 2019.

    Google Scholar 

  211. Zhou, Y., Chen, L., Liu, Y., & Sitaraman, S. (2019). Thermal cycling simulation and sensitivity analysis of wafer level chip scale package with integration of metal-insulator-metal capacitors. In IEEE/ECTC Proceedings (pp. 1521–1528), May 2019.

    Google Scholar 

  212. Chou, P., Hsiao, H., & Chiang, K. (2019). Failure life prediction of wafer level packaging using DoS with AI technology. In IEEE/ECTC Proceedings (pp. 1515–1520), May 2019.

    Google Scholar 

  213. Chen, Z., Lau, B., Ding, Z., Leong, E., Wai, C., Han, B., Bu, L., Chang, H., & Chai, T. (2018). Development of WLCSP for accelerometer packaging with vertical CuPd wire as through mold interconnection (TMI). In IEEE/ECTC Proceedings (pp. 1188–1193), May 2018.

    Google Scholar 

  214. Tsao, P. H., Lu, T. H., Chen, T. M., Chang, K. C., Kuo, C. M., Lii, M. J., & Chu, L. H. (2018). Board level reliability enhancement of WLCSP with large chip size. In IEEE/ECTC Proceedings (pp. 120–1205), May 2018.

    Google Scholar 

  215. Ramachandran, V., Wu, K. C., Lee, C. C., & Chiang, K. N. (2018). Reliability life assessment of WLCSP using different creep models. In IEEE/ECTC Proceedings (pp. 1017–1022), May 2018.

    Google Scholar 

  216. Sheikh, M., Hsiao, A., Xie, W., Perng, S., Ibe, E., Loh, K., & Lee, T. (2018). Multi-axis loading impact on thermo-mechanical stress-induced damage on WLCSP and components with via-in pad plated over (VIPPO) board design configuration. In IEEE/ECTC Proceedings (pp. 911–915), May 2018.

    Google Scholar 

  217. Tsao, P. H., Chen, T. M., Kuo, Y. L., Kuo, C. M., Hsu, S., Lii, M. J., & Chu, L. H. (2017). Investigation of production quality and reliability risk of ELK Wafer WLCSP package. In IEEE/ECTC Proceedings (pp. 371–375), May 2017.

    Google Scholar 

  218. Lin, W., Pham, Q., Baloglu, B., & Johnson, M. (2017). SACQ solder board level reliability evaluation and life prediction model for wafer level packages. In IEEE/ECTC Proceedings (pp. 1058–1064), May 2017.

    Google Scholar 

  219. Yang, S., Chen, C., Huang, W., Yang, T., Huang, G., Chou, T., Hsu, C., Chang, C., Huang, H., Chou, C., Ku, C., Chen, C., Chen, C., Liu, K., Kalnitsky, A., & Liao, M. (2017). Implementation of thick copper inductor integrated into chip scaled package. In IEEE/ECTC Proceedings (pp. 306–311), May 2017.

    Google Scholar 

  220. Lee, T., Chang, Y., Hsu, C., Hsieh, S., Lee, P., Hsieh, Y., Wang, L., & Zhang, L. (2017). Glass based 3D-IPD integrated RF ASIC in WLCSP. In IEEE/ECTC Proceedings (pp. 631–636), May 2017.

    Google Scholar 

  221. Hsu, M., Chiang, K., Lee, C. (2017). A modified acceleration factor empirical equation for BGA type package. In IEEE/ECTC Proceedings (pp. 1020–1026), May 2017.

    Google Scholar 

  222. Jalink, J., Roucou, R., Zaa, J., Lesventes, J., Rongen, R. (2017). Effect of PCB and package type on board level vibration using vibrational spectrum analysis. In IEEE/ECTC Proceedings (pp. 470–475), May 2017.

    Google Scholar 

  223. Xu, J., Ding, Z., Chidambaram, V., Ji, H., & Gu, Y. (2017). High vacuum and high robustness Al-Ge bonding for wafer level chip scale packaging of MEMS sensors. In IEEE/ECTC Proceedings (pp. 956–960), May 2017.

    Google Scholar 

  224. Max K., Wu, C., Liu, C., & Yu, D. (2017). UFI (UBM-free integration) fan-in WLCSP technology enables large die fine pitch packages. In IEEE/ECTC Proceedings (pp. 1154–1159), May 2017.

    Google Scholar 

  225. Takyu, S., Fumita, Y., Yamamoto, D., Yamashita, S., Furuta, K., Yamashita, Y., Tanaka, K., Uchiyama, N., Ogiwara, T., & Kondo, Y. (2016). A novel dicing technologies for WLCSP using stealth dicing through dicing tape and back side protection-film. In IEEE/ECTC Proceedings (pp. 1241–1246), May 2016.

    Google Scholar 

  226. Lin, Y., Chong, E., Chan, M., Lim, K., & Yoon, S. (2015). WLCSP+ and eWLCSP in FlexLine: Innovative wafer level package manufacturing. In IEEE/ECTC Proceedings (pp. 865–870), May 2015.

    Google Scholar 

  227. Chen, J. H., Kuo, Y. L., Tsao, P. H., Tseng, J., Chen, M., Chen, T. M., Lin, Y. T., & Xu, A. (2015). Investigation of WLCSP corrosion induced reliability failure on halogens environment for wearable electronics. In IEEE/ECTC (pp. 1599–1603), May 2015.

    Google Scholar 

  228. Chatinho, V., Cardoso, A., Campos, J., & Geraldes, J. (2015). Development of very large fan-in WLP/ WLCSP for volume production. In IEEE/ECTC (pp. 1096–1101), May 2015.

    Google Scholar 

  229. Nomura, H., Tachibana, K., Yoshikawa, S., Daily, D., & Kawa, A. (2015). WLCSP CTE failure mitigation via solder sphere alloy. In IEEE/ECTC (pp. 1257–1261), May 2015.

    Google Scholar 

  230. Yang, S., Wu, C., Hsiao, Y., Tung, C., Yu, D. (2015). A flexible interconnect technology demonstrated on a wafer-level chip scale package. In IEEE/ECTC (pp. 859–864), May 2015.

    Google Scholar 

  231. Yang, S., Tsai, B., Lin, C., Yen, E., Lee, J., Hsieh, W., & Wu, V. (2015). Advanced multi-sites testing methodology after wafer singulation for WLPs process. In IEEE/ECTC (pp. 871–876), May 2015.

    Google Scholar 

  232. Keser, B., Alvarado, R., Schwarz, M., & Bezuk, S. (2015). 0.35mm pitch wafer level package board level reliability: Studying effect of ball de-population with varying ball size. In IEEE/ECTC (pp. 1090–1095), May 2015.

    Google Scholar 

  233. Arumugam, N., Hill, G., Clark, G., Arft, C., Grosjean, C., Palwai, R., Pedicord, J., Hagelin, P., Partridge, A., Menon, V., & Gupta, P. (2015). 2-die wafer-level chip scale packaging enables the smallest TCXO for mobile and wearable applications. In IEEE/ECTC (pp. 1338–1342), May 2015.

    Google Scholar 

  234. Liu, Y., Liu, Y., & Qu, S. (2014). Bump geometric deviation on the reliability of BOR WLCSP. In IEEE/ECTC Proceedings (pp. 808–814), May 2014.

    Google Scholar 

  235. Anzai, N., Fujita, M., & Fujii, A. (2014). Drop test and TCT reliability of buffer coating material for WLCSP. In IEEE/ECTC Proceedings (pp. 829–835), May 2014.

    Google Scholar 

  236. Cui, T., Syed, A., Keser, B., Alvarado, R., Xu, S., & Schwarz, M. (2014). Interconnect reliability prediction for wafer level packages (WLP) for temperature cycle and drop load conditions. In IEEE/ECTC Proceedings (pp. 100–107), May 2014.

    Google Scholar 

  237. Keser, B., Alvarado, R., Choi, A., Schwarz, M., & Bezuk, S. (2014). Board level reliability and surface mount assembly of 0.35mm and 0.3mm pitch wafer level packages. In IEEE/ECTC Proceedings (pp. 925–930), May 2014.

    Google Scholar 

  238. Xiao, Z., Fan, J., Ren, Y., Li, Y., Huang, X., Yu, D., Zhang, W. (2017). Development of 3D thin WLCSP using vertical via last TSV technology with various temporary bonding materials and low temperature PECVD process. In IEEE/ECTC Proceedings (pp. 302–309), May 2017.

    Google Scholar 

  239. Zoschke, K., Klein, M., Gruenwald, R., Schoenbein, C., & Lang, K. (2017). LiTaO3 capping technology for wafer level chip size packaging of SAW filters. In IEEE/ECTC Proceedings (pp. 889–896), May 2017.

    Google Scholar 

  240. Kuo, F., Chiang, J., Chang, K., Shu, J., Chien, F., Wang, K., & Lee, R. (2017). Studying the effect of stackup structure of large die size fan-in wafer level package at 0.35 mm pitch with varying ball alloy to enhance board level reliability performance. In IEEE/ECTC Proceedings (pp.140–146), May 2017.

    Google Scholar 

  241. Tsou, C., Chang, T., Wu, K., Wu, P., & Chiang, K. (2017). Reliability assessment using modified energy based model for WLCSP solder joints. In IEEE/ICEP2017, Yamagata, Japan, April 2017.

    Google Scholar 

  242. Rogers, B., & Scanlan, C. (2013). Improving WLCSP reliability through solder joint geometry optimization. In International Symposium on Microelectronics (pp. 546–550), October 2013.

    Google Scholar 

  243. Hsieh, M. C. (2015). Modeling correlation for solder joint fatigue life estimation in wafer-level chip scale packages. In International Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT) ((pp. 65–68)), Oct. 2015.

    Google Scholar 

  244. Hsieh, M. C., & Tzeng, S. L. (2015). Solder joint fatigue life prediction in large size and low cost wafer-level chip scale packages. In IEEE Electronic Packaging Technology (ICEPT) (pp. 496–501), November 2015.

    Google Scholar 

  245. Liu, Y. M., & Liu, Y. (2013). Prediction of board-level performance of WLCSP. In IEEE/ECTC Proceedings (pp. 840–845), June 2013.

    Google Scholar 

  246. Liu, Y., Qian, Q., Ring, M., Kim, J., & Kinzer, D. (2012). Modeling for critical design of wafer level chip scale package. In IEEE/ECTC Proceedings (pp. 959–964), June 2012.

    Google Scholar 

  247. Chan, Y., Lee, S., Song, F., Lo, J., & Jiang, T. (2009). Effect of UBM and BCB layers on the thermomechanical reliability of wafer level chip scale package (WLCSP). In Proceedings of Microsystems, Packaging, Assembly and Circuits Technology Conference (IMPACT) (pp. 407–412), 2009.

    Google Scholar 

  248. Tee, T., Tan, L., Anderson, R., Ng, H., Low, J., Khoo, C., Moody, R., & Rogers, B. (2008). Advanced analysis of WLCSP copper interconnect reliability under board level drop test. In IEEE/ECTC Proceedings (pp. 1086–1095), May 2008.

    Google Scholar 

  249. Fan, X., & Han, Q. (2008). Design and reliability in wafer level packaging. In IEEE/ECTC Proceedings (pp. 834–841), May 2008.

    Google Scholar 

  250. Jung, B. Y., et al. (2016). MEMS WLCSP development using vertical interconnection. In Electronics Packaging Technology Conference (EPTC) (pp. 455–458), IEEE 18th, December 2016.

    Google Scholar 

  251. Ding, M., Lau, B., & Chen, Z. (2017). Molding process development for low-cost MEMS-WLCSP with silicon pillars and Cu wires as vertical interconnections. In Electronics Packaging Technology Conference (EPTC), IEEE 19th, 2017.

    Google Scholar 

  252. Zeng, K., & Nangia, A. (2014). Thermal cycling reliability of SnAgCu solder joints in WLCSP. In Proceedings of 2014 IEEE 16th Electronics Packaging Technology Conference (pp. 503–511), December 2014.

    Google Scholar 

  253. Sun, P. (2017). Package and board level reliability study of 0.35mm fine pitch wafer level package. In Proceedings of 2017 18th International Conference on Electronic Packaging Technology (pp. 322–326).

    Google Scholar 

  254. Yeung, T. (2014). Material characterization of a novel lead-free solder material—SACQ. In IEEE/ECTC Proceedings (pp. 518–522), May 2014.

    Google Scholar 

  255. Lau, J. H., Ko, C., Tseng, T., Yang, K., Peng, C., Xia, T., Lin, P., Lin, E., Chang, L., Liu, H., & Cheng, D. (2020). Fan-in panel-level with multiple diced wafers packaging. In IEEE/ECTC Proceedings (pp. 1146–1153), May 2020.

    Google Scholar 

  256. Lin, Y., Marimuthu, P., Chen, K., Goh, H., Gu, Y., Shim, I., Huang, R., Chow, S., Fang, J., & Feng, X. (2011). Semiconductor device and method of forming insulating layer disposed over the semiconductor die for stress relief. US Patent 8,456,002B2, filling date: December 21, 2011.

    Google Scholar 

  257. Strothmann, T., Yoon, S., & Lin, Y. (2014). Encapsulated wafer level package technology (eWLCSP). In Proceedings of IEEE/ECTC (pp. 931–934), May 2014.

    Google Scholar 

  258. Lin, Y., Chen, K., Heng, K., Chua, L., & Yoon, S. (2014). Encapsulated wafer level chip scale package (eWLCSP™) for cost effective and robust solutions in FlexLine™. In Proceeding of IEEE/IMPACT (pp. 316–319), September 2014.

    Google Scholar 

  259. Lin, Y., Chen, K., Heng, K., Chua, L., & Yoon, S. (2016). Challenges and improvement of reliability in advanced wafer level packaging technology. In Proceedings of IEEE 23rd International Symposium on the Physical and Failure Analysis (IPFA) (pp. 47–50), Singapore, July 2016.

    Google Scholar 

  260. Smith, L., & Dimaano, J. Jr. (2015). Development approach and process optimization for sidewall WLCSP protection. In Proceedings of IWLPC (pp. 1–4), October 2015.

    Google Scholar 

  261. Tang, T., Lan, A., Wu, J., Huang, J., Tsai, J., Li, J., Ho, A., Chang, J., Lin, W. (2016). Challenges of ultra-thin 5 sides molded WLCSP. In Proceedings of IEEE/ECTC (pp. 1167–1771), May 2016.

    Google Scholar 

  262. Ma, S., Wang, T., Xiao, Z., Yu, D. (2018). Process development of five-and six-side molded WLCSP. In Proceedings of China Semiconductor Technology International Conference (CSTIC) (pp. 1–3), March 2018.

    Google Scholar 

  263. Zhao, S., Qin, F., Yang, M., Xiang, M., & Yu, D. (2019). Study on warpage evolution for six-side molded WLCSP based on finite element analysis. In Proceeding of the International Conference on Electronic Packaging Technology (ICEPT) (pp. 1–4), August 2019.

    Google Scholar 

  264. Qin, F., Zhao, S., Dai, Y., Yang, M., Xiang, M., & Yu, D. (2020). Study of warpage evolution and control for six-side molded WLCSP in different packaging processes. IEEE Transactions on CPMT, 10(4), 730–738.

    Google Scholar 

  265. Chi, Y., Lai, C., Kuo, C., Huang, J., Chung, C., Jiang, Y., Chang, H., Liu, N., & Lin, B. (2020). Board level reliability study of WLCSP with 5-sided and 6-sided protection. In Proceedings of IEEE/ECTC (pp. 807–810), May 2020.

    Google Scholar 

  266. Lau, J. H., Ko, C., Tseng, T., Peng, T., Yang, K., Xia, C., Lin, P., Lin, E., Liu, L. N., Lin, C., Cheng, D., & Lu, W. (2020). Six-side molded panel-level chip-scale package with multiple diced wafers. In IMAPS Proceedings (pp. 1–10), October 2020.

    Google Scholar 

  267. Lau, J. H., Ko, C., Tseng, T., Peng, T., Yang, K., Xia, C., Lin, P., Lin, E., Liu, L. N., Lin, C., Cheng, D., & Lu, W. (2020). Six-side molded panel-level chip-scale package with multiple diced wafers. IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, 17, 111–120.

    Article  Google Scholar 

  268. Lau, J. H. (2019). Recent advances and trends in fan-out wafer/panel-level packaging. ASME Transactions, Journal of Electronic Packaging, 141, 1–27.

    Article  Google Scholar 

  269. Borkulo, J., Tan, E., & Stam, R. (2017). Laser multi beam full cut dicing of dicing of wafer level chip-scale packages. In Proceedings of IEEE/ECTC (pp. 338–342), May 2017.

    Google Scholar 

  270. Borkulo, J., & Stam, R. (2018). Laser-based full cut dicing evaluations for thin Si wafers. In Proceedings of IEEE/ECTC (pp. 1945–1949), May 2018.

    Google Scholar 

  271. Borkulo, J., Evertsen, R., Stam, R. (2019). A more than moore enabling wafer dicing technology. In IEEE/ECTC Proceedings (pp. 423–427), May 2019.

    Google Scholar 

  272. Qu, S., Kim, J., Marcus, G., & Ring, M. (2013). 3D power module with embedded WLCSP. In IEEE/ECTC Proceedings (pp. 1230–1234), May 2013.

    Google Scholar 

  273. Syed, A., Dhandapani, K., Berry, C., Moody, R., & Whiting, R. (2013). Electromigration reliability and current carrying capacity of various WLCSP interconnect structures. In IEEE/ECTC Proceedings (pp. 714–724), May 2013.

    Google Scholar 

  274. Arfaei1, B., Mahin-Shirazi, S., Joshi, S., Anselm1, M., Borgesen, P., Cotts, E., Wilcox, J., & Coyle, R. (2013). Reliability and failure mechanism of solder joints in thermal cycling tests. In IEEE/ECTC Proceedings (pp. 976–985), May 2013.

    Google Scholar 

  275. Yang, S., Wu, C., Shih, D., Tung, C., Wei, C., Hsiao, Y., Huang, Y., & Yu, D. (2013). Optimization of solder height and shape to improve the thermo-mechanical reliability of wafer-level chip scale packages. In IEEE/ECTC Proceedings (pp. 1210–1218), May 2013.

    Google Scholar 

  276. Hau-Riege, C., Keser, B., Yau, Y., Bezuk, S. (2013). Electromigration of solder balls for wafer-level packaging with different under bump metallurgy and redistribution layer thickness. In IEEE/ECTC Proceedings (pp. 707–713), May 2013.

    Google Scholar 

  277. Lai, Y., Kao, C., Chiu, Y., & Appelt, B. (2011). Electromigration reliability of redistribution lines in wafer-level chip-scale packages. In IEEE/ECTC Proceedings (pp. 326–331), May 2011.

    Google Scholar 

  278. Darveaux, R., Enayet, S., Reichman, C., Berry, C., & Zafar, N. (2011). Crack initiation and growth in WLCSP solder joints. In IEEE/ECTC Proceedings (pp. 940–953), May 2011.

    Google Scholar 

  279. Yadav, P., Kalchuri, S., Keser, B., Zang, R., Schwarz, M., & Stone, B. (2011). Reliability evaluation on low k wafer level packages. In IEEE/ECTC Proceedings (pp. 71–77), May 2011.

    Google Scholar 

  280. Franke, J., Dohle, R., Schüßler, F., Oppert, T., Friedrich, T., & Härter, S. (2011). Processing and reliability analysis of flip-chips with solder bumps down to 30μm diameter. In IEEE/ECTC Proceedings (pp. 893–900), May 2011.

    Google Scholar 

  281. Bao, Z., Burrell, J., Keser, B., Yadav, P., Kalchuri, S., & Zang, R. (2011). Exploration of the design space of wafer level packaging through numerical simulation. In IEEE/ECTC Proceedings (pp. 761–766), May 2011.

    Google Scholar 

  282. England, L. (2010). Solder joint reliability performance of electroplated SnAg mini-bumps for WLCSP applications. In IEEE/ECTC Proceedings (pp. 599–604), May 2010.

    Google Scholar 

  283. Walls, J., Kuo, S., Gelvin, E., & Rogers, A. (2010). High-sensitivity electromigration testing of lead-free WLCSP solder bumps. In IEEE/ECTC Proceedings (pp. 293–296), May 2010.

    Google Scholar 

  284. Zhang, Y., & Xu, Y. (2010). The experimental and numerical investigation on shear behaviour of solder ball in a wafer level chip scale package. In IEEE/ECTC Proceedings (pp. 1746–1751), May 2010.

    Google Scholar 

  285. Liu, Y., Qian, Q., Kim, J., & Martin, S. (2010). Board level drop impact simulation and test for development of wafer level chip scale package. In IEEE/ECTC Proceedings (pp. 1186–1194), May 2010.

    Google Scholar 

  286. Chen, L., Hsu, Y., Fang, P., & Chen, R. (2010). Packaging effect investigation for MEMS-based sensors WL-CSP with a central opening. In IEEE/ECTC Proceedings (pp. 1689–1695), May 2010.

    Google Scholar 

  287. Okayama, Y., Nakasato, M., Saitou, K., Yanase, Y., Kobayashi, H., Yamamoto, T., Usui, R., & Inoue, Y. (2010). Fine pitch connection and thermal stress analysis of a novel wafer level packaging technology using laminating process. In IEEE/ECTC Proceedings (pp. 287–292), May 2010.

    Google Scholar 

  288. Chen, L., Chen, C., Wilburn, T., & Sheng, G. (2011). The use of implicit mode functions to drop impact dynamics of stacked chip scale packaging. In IEEE/ECTC Proceedings (pp. 2152–2157), May 2011.

    Google Scholar 

  289. Chang, S., Cheng, C., Shen, L., & Chen, K. (2007). A novel design structure for WLCSP with high reliability, low cost, and ease of fabrication. IEEE Transactions on Advanced Packaging, 30(3), 377–383.

    Article  Google Scholar 

  290. Zhou, T., Ma, S., Yu, D., Li, M., & Hang, T. (2020). Development of reliable, high performance WLCSP for BSI CMOS image sensor for automotive application. Sensors, 20(15), 4077–4083.

    Google Scholar 

  291. Lau, J. H., Ko, C., Peng, T., Tseng, T., Yang, K., Xia, T., Lin, B., Lin, E., Chang, L., Liu, H., Lin, C., Fan, Y., Cheng, D., & Lu, W. (2021). Reliability of 6-side molded panel-level chip-scale packages (PLCSPs). In IEEE/ECTC Proceeding, May 2021.

    Google Scholar 

  292. Garrou, P. (2000). Wafer level chip scale packaging (WL-CSP): An overview. IEEE Transactions on Advanced Packaging, 23(2), 198–205.

    Article  Google Scholar 

  293. Rogers, B., Melgo, M., Almonte, M., Jayaraman, S., Scanlan, C., & Olson, T. (2014). Enhancing WLCSP reliability through build-up substrate improvements and new solder alloys. In IWLPC Proceedings (pp. 1–7), October 2014.

    Google Scholar 

  294. Wu, Z., Zhang, H., & Malinowski, J. (2020). Understanding and improving reliability for wafer level chip scale package: A study based on 45nm RFSOI technology for 5G applications. IEEE Journal of the Electron Devices Society, 1–10.

    Google Scholar 

  295. Liu, T., Chen, C., Liu, S., Chang, M., & Lin, J. (2011). Innovative methodologies of circuit edit by focused ion beam (FIB) on wafer-level chip-scale-package (WLCSP) devices. Journal of Materials Science: Materials in Electronics, 22 (10), 1536–1541.

    Google Scholar 

  296. Rahangdale, U., Conjeevaram, B., Doiphode, A., & Kummerl, S. (2017). Solder ball reliability assessment of WLCSP—Power cycling versus thermal cycling. In IEEE/ITHERM Proceedings (pp. 1361–1368), June 2017.

    Google Scholar 

  297. Hsiao, A., Sheikh, M., Loh, K., Ibe, E., & Lee, T. (2020). Impact of conformal coating induced stress on wafer level chip scale package thermal performance. SMTA Journal, 33(2), 7–13.

    Article  Google Scholar 

  298. Hsiao, A., Baty, G., Ibe, E., Loh, K., Perng, S., Xie, W., & Lee, T. (2020). Edgebond and edgefill induced loading effect on large WLCSP thermal cycling performance. SMTA Journal, 33(2), 22–27.

    Article  Google Scholar 

  299. Lau, J. H., Li, M., Lei, Y., Li, M., Xu, I., Chen, T., Yong, Q., Cheng, Z., Kai, W., Li, Z., Tan, K., Cheung, Y., Fan, N., Kuah, E., Xi, C., Ran, J., Beica, R., Lim, S., Lee, N., … Lee, R. (2018). Reliability of fan-out wafer-level heterogeneous integration. IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, 15(4), 148–162.

    Article  Google Scholar 

  300. Lau, J. H., Li, M., Li, M., Chen, T., Xu, I., Yong, Q., Cheng, Z., Fan, N., Kuah, E., Li, Z., Tan, K., Cheung, Y., Ng, E., Lo, P., Kai, W., Hao, J., Wee, K., Ran, J., Xi, C., … Lee, R. (2018). Fan-out wafer-level packaging for heterogeneous integration. IEEE Transactions on CPMT, 8(9), 1544–1560.

    Google Scholar 

  301. Ko, C. T., Yang, H., Lau, J. H., Li, M., Li, M., Lin, C., Lin, J., Chang, C., Pan, J., Wu, H., Chen, Y., Chen, T., Xu, I., Lo, P., Fan, N., Kuah, E., Li, Z., Tan, K., Lin, C., … Lee, R. (2018). Design, materials, process, and fabrication of fan-out panel-level heterogeneous integration. IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, 15(4), 141–147.

    Article  Google Scholar 

  302. Ko, C. T., Yang, H., Lau, J. H., Li, M., Li, M., Lin, C., Lin, J., Chen, T., Xu, I., Chang, C., Pan, J., Wu, H., Yong, Q., Fan, N., Kuah, E., Li, Z., Tan, K., Cheung, Y., Ng, E., … Lee, R. (2018). Chip-first fan-out panel level packaging for heterogeneous integration. IEEE Transactions on CPMT, 8(9), 1561–1572.

    Google Scholar 

  303. Lau, J. H., Ko, C., Lin, C., Tseng, T., Yang, K., Xia, T., Lin, P., Peng, C., Lin, E., Chang, L., Liu, N., Chiu, S., & Lee, T. (2021). Fan-out panel-level packaging of mini-LED RGB display. IEEE Transactions on CPMT, 11(5), 739–747.

    Google Scholar 

  304. Lau, J. H., Li, M., Li, M., Xu, I., Chen, T., Li, Z., Tan, K., Yong, Q., Cheng, Z., Wee, K., Beica, R., Ko, C., Lim, S., Fan, N., Kuah, E., Cheung, Y., Ng, E., Xi, C., Ran, J., … Lee, R. (2018). Design, materials, process, and fabrication of fan-out wafer-level packaging. IEEE Transactions on CPMT., 8(6), 991–1002.

    Google Scholar 

  305. Lau, J. H., Li, M., Fan, N., Kuah, E., Li, Z., Tan, K., Chen, T., Xu, I., Li, M., Cheung, Y., Kai, W., Hao, J., Beica, R., Taylor, T., Ko, C., Yang, H., Chen, Y., Lim, S., Lee, N., … Lee, R. (2017). Fan-out wafer-level packaging (FOWLP) of large chip with multiple redistribution layers (RDLs). IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, 14(4), 123–131.

    Article  Google Scholar 

  306. Lau, J. H., Li, M., Tian, D., Fan, N., Kuah, E., Kai, W., Li, M., Hao, J., Cheung, Y., Li, Z., Tan, K., Beica, R., Taylor, T., Ko, C., Yang, H., Chen, Y., Lim, S., Lee, N., Ran, J., … Yong, Q. (2017). Warpage and thermal characterization of fan-out wafer-level packaging. IEEE Transactions on CPMT, 7(10), 1729–1738.

    Google Scholar 

  307. Lau, J. H., Li, M., Li, M., Xu, I., Chen, T., Chen, S., Yong, Q., Madhukumar, J., Kai, W., Fan, N., Li, Z., Tan, K., Bao, W., Lim, S., Beica, R., Ko, C., & Xi, C. (2018). Warpage measurements and characterizations of FOWLP with large chips and multiple RDLs. IEEE Transactions on CPMT, 8(10), 1729–1737.

    Google Scholar 

  308. Lau, J. H., Ko, C., Peng, T., Yang, K., Xia, T., Lin, P., Chen, J., Huang, P., Tseng, T., Lin, E., Chang, L., Lin, C., & Lu, W. (2020). Chip-last (RDL-first) fan-out panel-level packaging (FOPLP) for heterogeneous integration. IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, 17(3), 89–98.

    Article  Google Scholar 

  309. Lau, J. H., Ko, C., Yang, K., Peng, C., Xia, T., Lin, P., Chen, J., Huang, P., Liu, H., Tseng, T., Lin, E., & Chang, L. (2020). Panel-level fan-out RDL-first packaging for heterogeneous integration. IEEE Transactions on CPMT, 10(7), 1125–1137.

    Google Scholar 

  310. Rao, V., Chong, C., Ho, D., Zhi, D., Choong, C., Lim, S., Ismael, D., & Liang, Y. (2016). Development of high density fan out wafer level package (HD FOWLP) with multilayer fine pitch RDL for mobile applications. In IEEE/ECTC Proceedings (pp. 1522–1529).

    Google Scholar 

  311. Hedler, H., Meyer, T., & Vasquez, B. (2004). Transfer wafer level packaging. US Patent 6,727,576, filed on Oct. 31, 2001; patented on April 27, 2004.

    Google Scholar 

  312. Lau, J. H. (2015). Patent issues of fan-out wafer/panel-level packaging. Chip Scale Review, 19, 42–46.

    Google Scholar 

  313. Brunnbauer, M., Furgut, E., Beer, G., Meyer, T., Hedler, H., Belonio, J., Nomura, E., Kiuchi, K., & Kobayashi, K. (2006). An embedded device technology based on a molded reconfigured wafer. In IEEE/ECTC Proceedings (pp. 547–551), May 2006.

    Google Scholar 

  314. Brunnbauer, M., Furgut, E., Beer, G., & Meyer, T. (2006). Embedded wafer level ball grid array (eWLB). In IEEE/EPTC Proceedings (pp. 1–5), May 2006.

    Google Scholar 

  315. Keser, B., Amrine, C., Duong, T., Fay, O., Hayes, S., Leal, G., Lytle, W., Mitchell, D., & Wenzel, R. (2007). The redistributed chip package: A breakthrough for advanced packaging. In Proceedings of IEEE/ECTC (pp. 286–291), May 2007.

    Google Scholar 

  316. Kripesh, V., Rao, V., Kumar, A., Sharma, G., Houe, K., Zhang, X., Mong, K., Khan, N., & Lau, J. H. (2008). Design and development of a multi-die embedded micro wafer level package. In IEEE/ECTC Proceedings (pp. 1544–1549), May 2008.

    Google Scholar 

  317. Khong, C., Kumar, A., Zhang, X., Gaurav, S., Vempati, S., Kripesh, V., Lau, J. H., & Kwong, D. (2009). A novel method to predict die shift during compression molding in embedded wafer level package. In IEEE/ECTC Proceedings (pp. 535–541), May 2009.

    Google Scholar 

  318. Sharma, G., Vempati, S., Kumar, A., Su, N., Lim, Y., Houe, K., Lim, S., Sekhar, V., Rajoo, R., Kripesh, V., & Lau, J. H. (2011). Embedded wafer level packages with laterally placed and vertically stacked thin dies. In IEEE/ECTC Proceedings (pp. 1537–1543), 2009. Also, IEEE Transactions on CPMT, 1(5), 52–59 (2011).

    Google Scholar 

  319. Kumar, A., Xia, D., Sekhar, V., Lim, S., Keng, C., Gaurav, S., Vempati, S., Kripesh, V., Lau, J. H., & Kwong, D. (2009). Wafer level embedding technology for 3D wafer level embedded package. In IEEE/ECTC Proceedings (pp. 1289–1296), May 2009.

    Google Scholar 

  320. Lim, Y., Vempati, S., Su, N., Xiao, X., Zhou, J., Kumar, A., Thaw, P., Gaurav, S., Lim, T., Liu, S., Kripesh, V., & Lau, J. H. (2010). Demonstration of high quality and low loss millimeter wave passives on embedded wafer level packaging platform (EMWLP). In IEEE/ECTC Proceedings (pp. 508–515), 2009. Also, IEEE Transactions on Advanced Packaging, 33, 1061–1071 (2010).

    Google Scholar 

  321. Lau, J. H., Fan, N., & Li, M. (2016). Design, material, process, and equipment of embedded fan-out wafer/panel-level packaging. Chip Scale Review, 20, 38–44.

    Google Scholar 

  322. Lau, J. H. (2019). Recent advances and trends in heterogeneous integrations. IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, 16, 45–77.

    Article  Google Scholar 

  323. Kurita, Y., Kimura, T., Shibuya, K., Kobayashi, H., Kawashiro, F., Motohashi, N., & Kawano, M. (2010). Fan-out wafer-level packaging with highly flexible design capabilities. In IEEE/ESTC Proceedings (pp. 1–6), May 2010.

    Google Scholar 

  324. Motohashi, N., Kimura, T., Mineo, K., Yamada, Y., Nishiyama, T., Shibuya, K., Kobayashi, H., Kurita, Y., & Kawano, M. (2011). System in wafer-level package technology with RDL-first process. In IEEE/ECTC Proceedings (pp. 59–64), May 2011.

    Google Scholar 

  325. Yoon, S., Caparas, J., Lin, Y., & Marimuthu, P. (2012). Advanced low profile PoP solution with embedded wafer level PoP (eWLB-PoP) technology. In IEEE/ECTC Proceedings (pp. 1250–1254), May 2012.

    Google Scholar 

  326. Tseng, C., Liu, Wu, C., & Yu, D. (2016). InFO (wafer level integrated fan-out) technology. In IEEE/ECTC Proceedings (pp. 1–6), May 2016.

    Google Scholar 

  327. Hsieh, C., Wu, C., & Yu, D. (2016). Analysis and comparison of thermal performance of advanced packaging technologies for state-of-the-art mobile applications. In IEEE/ECTC Proceedings (pp. 1430–1438), May 2016.

    Google Scholar 

  328. Yoon, S., Tang, P., Emigh, R., Lin, Y., Marimuthu, P., & Pendse, R. (2013). Fanout flipchip eWLB (embedded wafer level ball grid array) technology as 2.5D packaging solutions. In IEEE/ECTC Proceedings (pp. 1855–1860), May 2013.

    Google Scholar 

  329. Lin, Y., Lai, W., Kao, C., Lou, J., Yang, P., Wang, C., & Hseih, C. (2016). Wafer warpage experiments and simulation for fan-out chip on substrate. In IEEE/ECTC Proceedings (pp. 13–18), May 2016.

    Google Scholar 

  330. Chen, N., Hsieh, T., Jinn, J., Chang, P., Huang, F., Xiao, J., Chou, A., & Lin, B. (2016). A novel system in package with fan-out WLP for high speed SERDES application. In IEEE/ECTC Proceedings (pp. 1495–1501), May 2016.

    Google Scholar 

  331. Chang, H., Chang, D., Liu, K., Hsu, H., Tai, R., Hunag, H., Lai, Y., Lu, C., Lin, C., & Chu, S. (2014). Development and characterization of new generation panel fan-out (PFO) packaging technology. In IEEE/ECTC Proceedings (pp. 947–951), May 2014.

    Google Scholar 

  332. Liu, H., Liu, Y., Ji, J., Liao, J., Chen, A., Chen, Y., Kao, N., & Lai, Y. (2014). Warpage characterization of panel fab-out (P-FO) package. In IEEE/ECTC Proceedings (pp. 1750–1754), May 2014.

    Google Scholar 

  333. Braun, T., Raatz, S., Voges, S., Kahle, R., Bader, V., Bauer, J., Becker, K., Thomas, T., Aschenbrenner, R., & Lang, K. (2015). Large area compression molding for fan-out panel level packing. In IEEE/ECTC Proceedings (pp. 1077–1083), May 2015.

    Google Scholar 

  334. Che, F., Ho, D., Ding, M., Zhang, X. (2015). Modeling and design solutions to overcome warpage challenge for fanout wafer level packaging (FO-WLP) technology. In IEEE/EPTC Proceedings (pp. 2–4), May 2015.

    Google Scholar 

  335. Che, F., Ho, D., Ding, M., MinWoopp, D. (2016). Study on process induced wafer level warpage of fan-out wafer level packaging. In IEEE/ECTC Proceedings (pp. 1879–1885), May 2016.

    Google Scholar 

  336. Hsu, I., Chen, C., Lin, S., Yu, T., Hsieh, M., Kang, K., & Yoon, S. (2020). Fine-pitch interconnection and highly integrated assembly packaging with FOMIP (fan-out mediatek innovation package) technology. In IEEE/ECTC Proceedings (pp. 867–872), May 2020.

    Google Scholar 

  337. Lai, W., Yang, P., Hu, I., Liao, T., Chen, K., Tarng, D., & Hung, C. (2020). A comparative study of 2.5D and fan-out chip on substrate: Chip first and chip last. In IEEE/ECTC Proceedings (pp. 354–360), May 2020.

    Google Scholar 

  338. Julien, B., Fabrice, D., Tadashi, K., Pieter, B., Koen, K., Alain, P., Andy, M., Arnita, P., Gerald, B., & Eric, B. (2020). Development of compression molding process for fan-out wafer level packaging. In IEEE/ECTC Proceedings (pp. 1965–1972), May 2020.

    Google Scholar 

  339. Lee, K., Lim, Y., Chow, S., Chen, K., Choi, W., & Yoon, S. (2019). Study of board level reliability of eWLB (embedded wafer level BGA) for 0.35mm Ball Pitch. In IEEE/ECTC Proceedings (pp. 1165–1169), May 2019.

    Google Scholar 

  340. Wu, D., Dahlbäck, R., Öjefors, E., & Carlsson, M., Lim, F., Lim, Y., Oo, A., Choi, W., & Yoon, S. (2019). Advanced wafer level PKG solutions for 60GHz WiGig (802.11ad) telecom infrastructure. In IEEE/ECTC Proceedings (pp. 968–971), May 2019.

    Google Scholar 

  341. Fowler, M., Massey, J., Braun, T., Voges, S., Gernhardt, R., & Wohrmann, M. (2019). Investigation and methods using various release and thermoplastic bonding materials to reduce die shift and wafer warpage for eWLB chip-first processes. In IEEE/ECTC Proceedings (pp. 363–369), May 2019.

    Google Scholar 

  342. Theuss, H., Geissler, C., Muehlbauer, F., Waechter, C., Kilger, T., Wagner, J., Fischer, T., Bartl, U., Helbig, S., Sigl, A., Maier, D., Goller, B., Vobl, M., Herrmann, M., Lodermeyer, J., Krumbein, U., & Dehe, A. (2019). A MEMS microphone in a FOWLP. In IEEE/ECTC Proceedings (pp. 855–860), May 2019.

    Google Scholar 

  343. Huang, C., Hsieh, T., Pan, P., Jhong, M., Wang, C., & Hsieh, S. (2018). Comparative study on electrical performance of eWLB, M-series and fan-out chip last. In IEEE/ECTC Proceedings (pp. 1324–1329), May 2018.

    Google Scholar 

  344. Ha, J., Yu, Y., & Cho, K. (2020). Solder joint reliability of double sided assembled PLP package. In IEEE/EPTC Proceedings (pp. 408–412), December 2020.

    Google Scholar 

  345. Mei, S., Lim, T., Peng, X., Chong, C., & Bhattacharya, S. (2020). FOWLP RF passive circuit designs for 77GHz MIMO radar applications. In IEEE/EPTC Proceedings (pp. 445–448), December 2020.

    Google Scholar 

  346. Zhang, X., Lau, B., Chen, H., Han, Y., Jong, M., Lim, S., Lim, S., Wang, X., Andriani, Y., & Liu, S. (2020). Board level solder joint reliability design and analysis of FOWLP. In IEEE/EPTC Proceedings (pp. 316–320), December 2020.

    Google Scholar 

  347. Ho, S., Boon, S., Long, L., Yao, H., Choong, C., Lim, S., Lim, T., & Chong, C. (2020). Double mold antenna in package for 77 GHz automotive radar. In IEEE/EPTC Proceedings (pp. 257–261), December 2020.

    Google Scholar 

  348. Jeon, Y., & Kumarasamy, R. (2020). Impact of package inductance on stability of mm-wave power amplifiers. In IEEE/EPTC Proceedings, December 2020 (pp. 255–256).

    Google Scholar 

  349. Han, Y., Chai, T., & Lim, T. (2020). Investigation of thermal performance of antenna in package for automotive radar system. In IEEE/EPTC Proceedings (pp. 246–250), December 2020.

    Google Scholar 

  350. Bhardwaj, S., Sayeed, S., Camara, J., Vital, D., Raj, P. (2019). Reconfigurable mmwave flexible packages with ultra-thin fan-out embedded tunable ceramic IPDs. In IMAPS Proceedings (pp. 1.1–4), October 2019.

    Google Scholar 

  351. Hdizadeh, R., Laitinen, A., Kuusniemi, N., Blaschke, V., Molinero, D., O’Toole, E., & Pinheiro, M. (2019). Low-density fan-out heterogeneous integration of MEMS tunable capacitor and RF SOI switch. In IMAPS Proceedings (pp. 5.1–5), October 2019.

    Google Scholar 

  352. Ostholt, R., Santos, R., Ambrosius, N., Dunker, D., & Delrue, J. (2019). Passive die alignment in glass embedded fan-out packaging. In IMAPS Proceedings (pp. 7.1–5), October 2019.

    Google Scholar 

  353. Ali, B., & Marshall, M. (2019). Automated optical inspection (AOI) for FOPLP with simultaneous die placement metrology. In IMAPS Proceedings (pp. 8.1–8), October 2019.

    Google Scholar 

  354. Ogura, N., Ravichandran, S., Shi, T., Watanabe, A., Yamada, S., Kathaperumal, M., & Tummala, R. (2019). First demonstration of ultra-thin glass panel embedded (GPE) package with sheet type epoxy molding compound for 5G/mm-wave applications. In IMAPS Proceedings (pp. 9.1–7), October 2019.

    Google Scholar 

  355. Yoon, S., Lin, Y., Gaurav, S., Jin, Y., Ganesh, V., Meyer, T., Marimuthu, C., Baraton, X., & Bahr, A. (2011). Mechanical characterization of next generation eWLB (embedded wafer level BGA) packaging. In IEEE/ECTC Proceedings (pp. 441–446), May 2011.

    Google Scholar 

  356. Jin, Y., Teysseyre, J., Baraton, X., Yoon, S., Lin, Y., & Marimuthu, P. (2012). Development and characterization of next generation eWLB (embedded wafer level BGA) packaging. In IEEE/ECTC Proceedings (pp. 1388–1393), May 2012.

    Google Scholar 

  357. Osenbach, J., Emerich, S., Golick, L., Cate, S., Chan, M., Yoon, S., Lin, Y., & Wong, K. (2014). Development of exposed die large body to die size ratio wafer level package technology. In IEEE/ECTC Proceedings (pp. 952–955), May 2014.

    Google Scholar 

  358. Lin, Y., Kang, C., Chua, L., Choi, W., & Yoon, S. (2016). Advanced 3D eWLB-PoP (embedded wafer level ball grid array—Package on package) technology. In IEEE/ECTC Proceedings (pp. 1772–1777), May 2016.

    Google Scholar 

  359. Chen, K., Chua, L., Choi, W., Chow, S., & Yoon, S. (2017). 28nm CPI (chip/package interactions) in large size eWLB (embedded wafer level BGA) fan-out wafer level packages. In IEEE/ECTC Proceedings (pp. 581–586), May 2017.

    Google Scholar 

  360. Yap, D., Wong, K., Petit, L., Antonicelli, R., & Yoon, S. (2017). Reliability of eWLB (embedded wafer level BGA) for automotive radar applications. In IEEE/ECTC Proceedings (pp. 1473–1479), May 2017.

    Google Scholar 

  361. Braun, T., Nguyen, T., Voges, S., Wöhrmann, M., Gernhardt, R., Becker, K., Ndip, I., Freimund, D., Ramelow, M., Lang, K., Schwantuschke, D., Ture, E., Pretl, M., & Engels, S. (2020). Fan-out wafer level packaging of GaN components for RF applications. In IEEE/ECTC Proceedings (pp. 7–13), May 2020.

    Google Scholar 

  362. Braun, T., Becker, K., Hoelck, O., Voges, S., Kahle, R., Graap, P., Wöhrmann, M., Aschenbrenner, R., Dreissigacker, M., Schneider-Ramelow, M., & Lang, K. (2019). Fan-out wafer level packaging—A platform for advanced sensor packaging. In IEEE/ECTC Proceedings (pp. 861–867), May 2019.

    Google Scholar 

  363. Woehrmann, M., Hichri, H., Gernhardt, R., Hauck, K., Braun, T., Toepper, M., Arendt, M., & Lang, K. (2017). Innovative excimer laser dual damascene process for ultra-fine line multi-layer routing with 10 μm pitch micro-vias for wafer level and panel level packaging. In IEEE/ECTC Proceedings (pp. 872–877), May 2017.

    Google Scholar 

  364. Braun, T., Raatz, S., Maass, U., van Dijk, M., Walter, H., Holck, O., Becker, K.-F., Topper, M., Aschenbrenner, R., Wohrmann, M., Voges, S., Huhn, M., Lang, K.-D., Wietstruck, M., Scholz, R., Mai, A., & Kaynak, M. (2017). Development of a multi-project fan-out wafer level packaging platform. In IEEE/ECTC Proceedings (pp. 1–7), May 2017.

    Google Scholar 

  365. Braun, T., Becker, K.-F., Raatz, S., Minkus, M., Bader, V., Bauer, J., Aschenbrenner, R., Kahle, R., Georgi, L., Voges, S., Wohrmann, M., & Lang, K.-D. (2016). Foldable fan-out wafer level packaging. In EEE/ECTC Proceedings (pp. 19–24), May 2016.

    Google Scholar 

  366. Braun, T., Becker, K.-F., Voges, S., Bauer, J., Kahle, R., Bader, V., Thomas, T., Aschenbrenner, R., & Lang, K.-D. (2014). “24”x18” fan-out panel level packing. In EEE/ECTC Proceedings (pp. 940–946), May 2014.

    Google Scholar 

  367. Braun, T., Becker, K.-F., Voges, S., Thomas, T., Kahle, R., Bauer, J., Aschenbrenner, R., & Lang, K.-D. (2013). From wafer level to panel level mold embedding. In EEE/ECTC Proceedings (pp. 1235–1242), May 2013.

    Google Scholar 

  368. Braun, T., Becker, K.-F., Voges, S., Thomas, T., Kahle, R., Bader, V., Bauer, J., Piefke, K., Krüger, R., Aschenbrenner, R., & Lang, K.-D. (2011). Through mold vias for stacking of mold embedded packages. In EEE/ECTC Proceedings (pp. 48–54), May 2011.

    Google Scholar 

  369. Braun, T., Becker, K.-F., Böttcher, L., Bauer, J., Thomas, T., Koch, M., Kahle, R., Ostmann, A., Aschenbrenner, R., Reichl, H., Bründel, M., Haag, J. F., & Scholz, U. (2010). Large area embedding for heterogeneous system integration. In EEE/ECTC Proceedings (pp. 550–556), May 2010.

    Google Scholar 

  370. Chiu, T., Wu, J., Liu, W., Liu, C., Chen, D., Shih, M., & Tarng, D. (2020). A mechanics model for the moisture induced delamination in fan-out wafer-level package. In IEEE/ECTC Proceedings (pp. 1205–1211), May 2020.

    Google Scholar 

  371. Poe, B. (2018). An innovative application of fan-out packaging for test and measurement-grade products. In IWLPC Proceedings (pp. 1.1–6), October 2018.

    Google Scholar 

  372. Hadizadeh, R., Laitinen, A., Molinero, D., Pereira, N., & Pinheiro, M. (2018). Wafer-level fan-out for high-performance, low-cost packaging of monolithic RF MEMS/CMOS. In IWLPC Proceedings (pp. 2.1–6), October 2018.

    Google Scholar 

  373. Lianto, P., Tan, C., Peng, Q., Jumat, A., Dai, X., Fung, K., See, G., Chong, S., Ho, S., Soh, S., Lim, S., Chua, H., Haron, A., Lee, H., Zhang, M., Ko, Z., San, Y., & Leong, H. (2020). Fine-pitch RDL integration for fan-out wafer-level packaging. In IEEE/ECTC Proceedings (pp. 1126–1131), May 2020.

    Google Scholar 

  374. Ma, S., Wang, C., Zheng, F., Yu, D., Xie, H., Yang, X., Ma, L., Li, P., Liu, W., Yu, J., Goodelle, J. (2019). Development of wafer level process for the fabrication of advanced capacitive fingerprint sensors using embedded silicon fan-out (eSiFO®) technology. In IEEE/ECTC Proceedings (pp. 28–34), May 2019.

    Google Scholar 

  375. Cho, J., Paul, J., Capecchi, S., Kuechenmeister, F., Cheng, T. (2019). Experiment of 22FDX® chip board interaction (CBI) in wafer level packaging fan-out (WLPFO). In IEEE/ECTC Proceedings (pp. 910–916), May 2019.

    Google Scholar 

  376. Weichart, J., Weichart, J., Erhart, A., Viehweger, K. (2019). Preconditioning technologies for sputtered seed layers in FOPLP. In IEEE/ECTC Proceedings (pp. 1833–1841), May 2019.

    Google Scholar 

  377. Liu, C., et al. (2012). High-performance integrated fan-out wafer level packaging (InFO-WLP): Technology and system integration. In Proceedings of IEEE International Electron Devices Meeting (pp. 323–326), December 2012.

    Google Scholar 

  378. Chen, S., Yu, D., et al. (2013). High-performance inductors for integrated fan-out wafer level packaging (InFO-WLP). In Symposium on VLSI Technology (pp. T46–47), June 2013.

    Google Scholar 

  379. Tsai, C., et al. (2013). Array antenna integrated fan-out wafer level packaging (InFO-WLP) for millimeter wave system applications. In Proceedings of IEEE International Electron Devices Meeting (pp. 25.1.1–25.1.4), June 2013.

    Google Scholar 

  380. Yu, D. (2014). New system-in-package (SIP) integration technologies. In Proceedings of the Custom Integrated Circuits Conference (pp. 1–6), September 2014.

    Google Scholar 

  381. Yu, D. (2015). A new integration technology platform: Integrated fan-out wafer-level-packaging for mobile applications. In Symposium on VLSI Technology (pp. T46–T47), June 2015.

    Google Scholar 

  382. Tsai, C., et al. (2015). High performance passive devices for millimeter wave system integration on integrated fan-out (InFO) wafer level packaging technology. In Proceedings of International Electron Devices Meeting (pp. 25.2.1–25.2.4), Dec. 2015.

    Google Scholar 

  383. Wang, C., et al. (2015). Power saving and noise reduction of 28 nm CMOS RF system integration using integrated fan-out wafer level packaging (InFO-WLP) technology. In Proceedings of International 3D Systems Integration Conference (pp. TS6.3.1–TS6.3.4), Aug. 2015.

    Google Scholar 

  384. Rogers, B., Sanchez, D., Bishop, C., Sandstrom, C., Scanlan, C., & Olson, T. (2015). Chips “Face-up” panelization approach for fan-out packaging. In Proceedings of IWLPC (pp. 1–8), October 2015.

    Google Scholar 

  385. Wang, C., & Yu, D. (2016). Signal and power integrity analysis on integrated fan-out PoP (InFO_PoP) technology for next generation mobile applications. In IEEE/ECTC Proceedings (pp. 380–385), May 2016.

    Google Scholar 

  386. Hsu, C., Tsai, C., Hsieh, J., Yee, K., Wang, C., & Yu, D. (2017). High performance chip-partitioned millimeter wave passive devices on smooth and fine pitch InFO RDL. In IEEE/ECTC Proceedings (pp. 254–259), May 2017.

    Google Scholar 

  387. Lau, J. H., Li, M., Fan, N., Kuah, E., Li, Z., Tan, K., Chen, T., Xu, I., Li, M., Cheung, Y., Wu, K., Hao, J., Beica, R., Taylor, T., Ko, C., Yang, H., Chen, Y., Lim, S., Lee, N., … Lee, R. (2017). Fan-out wafer-level packaging (FOWLP) of large chip with multiple redistribution layers (RDLs). IMAPS Transactions, Journal of Microelectronics and Electronic Packaging, 14(4), 123–131.

    Article  Google Scholar 

  388. Wang, C., Tang, T., Lin, C., Hsu, C., Hsieh, J., Tsai, C., Wu, K., Pu, H., & Yu, D. (2018). InFO_AiP technology for high performance and compact 5G millimeter wave system integration. In IEEE/ECTC Proceedings (pp. 202–207), May 2018.

    Google Scholar 

  389. Yu, C., Yen, L., Hsieh, C., Hsieh, J., Chang, V., Hsieh, C., Liu, C., Wang, C., Yee, K., & Yu, D. (2018). High performance, high density RDL for advanced packaging. IEEE/ECTC Proceedings (pp. 587–593), May 2018.

    Google Scholar 

  390. Su, A., Ku, T., Tsai, C., Yee, K., & Yu, D. S. (2019). 3D-MiM (MUST-in-MUST) technology for advanced system integration. In IEEE/ECTC Proceedings (pp. 1–6), May 2019.

    Google Scholar 

  391. Wang, C., Hsieh, J., Chang, V., Huang, S., Ko, T., Pu, H., & Yu, D. (2019). Signal integrity of submicron InFO heterogeneous integration for high performance computing applications. In IEEE/ECTC Proceedings (pp. 688–694), May 2019.

    Google Scholar 

  392. Chen, F., Chen, M., Chiou, W., Yu, D. (2019). System on integrated chips (SoICTM) for 3D heterogeneous integration. In IEEE/ECTC Proceedings (pp. 594–599), May 2019.

    Google Scholar 

  393. Hou, S., Tsai, K., Wu, M., Ku, M., Tsao, P., & Chu, L. (2018). Board level reliability investigation of FO-WLP package. In IEEE/ECTC Proceedings (pp. 904–910), May 2018.

    Google Scholar 

  394. Chun, S., Kuo, T., Tsai, H., Liu, C., Wang, C., Hsieh, J., Lin, T., Ku, T., Yu, D. (2020). InFO_SoW (system-on-wafer) for high performance computing. In IEEE/ECTC Proceedings (pp. 1–6), May 2020.

    Google Scholar 

  395. Ko, T., Pu, H., Chiang, Y., Kuo, H., Wang, C., Liu, C., & Yu, D. (2020). Applications and reliability study of InFO_UHD (ultra-high-density) technology. In IEEE/ECTC Proceedings (pp. 1120–1125), May 2020.

    Google Scholar 

  396. Kurita, Y., Soejima, K., Kikuchi, K., Takahashi, M., Tago, M., Koike, M. (2006). A novel “SMAFTI” package for inter-chip wide-band data transfer. In IEEE/ECTC Proceedings (pp. 289–297), May 2006.

    Google Scholar 

  397. Kawano, M., Uchiyama, S., Egawa, Y., Takahashi, N., Kurita, Y., Soejima, K. (2006). A 3D packaging technology for 4 Gbit stacked DRAM with 3 Gbps data transfer. In IEEE/IEMT Proceedings (pp. 581–584), May 2006.

    Google Scholar 

  398. Kurita, Y., Matsui, S., Takahashi, N., Soejima, K., Komuro, M., Itou, M. (2007). A 3D stacked memory integrated on a logic device using SMAFTI technology. In IEEE/ECTC Proceedings (pp. 821–829), May 2007.

    Google Scholar 

  399. Kawano, M., Takahashi, N., Kurita, Y., Soejima, K., Komuro, M., & Matsui, S. (2008). A 3-D packaging technology for stacked DRAM with 3 Gb/s data transfer. IEEE Transactions on Electron Devices, 55(7), 1614–1620.

    Article  Google Scholar 

  400. Motohashi, N., Kurita, Y., Soejima, K., Tsuchiya, Y., & Kawano, M. (2009). SMAFTI package with planarized multilayer interconnects. In IEEE/ECTC Proceedings (pp. 599–606), May 2009.

    Google Scholar 

  401. Kurita, M., Matsui, S., Takahashi, N., Soejima, K., Komuro, M., Itou, M. (2009). Vertical integration of stacked DRAM and high-speed logic device using SMAFTI technology. IEEE Transactions on Advanced Packaging, 657–665.

    Google Scholar 

  402. Kurita, Y., Motohashi, N., Matsui, S., Soejima, K., Amakawa, S., Masu, K. (2009). SMAFTI packaging technology for new interconnect hierarchy. In Proceedings of IITC (pp. 220–222), June 2009.

    Google Scholar 

  403. Kurita, Y., Kimura, T., Shibuya, K., Kobayashi, H., Kawashiro, F., Motohashi, N. (2010). Fan-out wafer level packaging with highly flexible design capabilities. In Proceedings of the Electronics System Integration Technology Conferences (pp. 1–6).

    Google Scholar 

  404. Motohashi, N., Kimura, T., Mineo, K., Yamada, Y., Nishiyama, T., Shibuya, K. (201). System in a wafer level package technology with RDL-first process. In IEEE/ECTC Proceedings (pp. 59–64), May 2011.

    Google Scholar 

  405. Huemoeller, R., & Zwenger, C. (2015). Silicon wafer integrated fan-out technology. Chip Scale Review, 34–37.

    Google Scholar 

  406. Bu, L., Che, F., Ding, M., Chong, S., & Zhang, X. (2015). Mechanism of moldable underfill (MUF) process for fan-out wafer level packaging. In IEEE/EPTC Proceedings (pp. 1–7), May 2015.

    Google Scholar 

  407. Che, F., Ho, D., Ding, M., & Woo, D. (2016). Study on process induced wafer level warpage of fan-out wafer level packaging. In IEEE/ECTC Proceedings (pp. 1879–1885), May 2016.

    Google Scholar 

  408. Rao, V., Chong, C., Ho, D., Zhi, D., Choong, C., Lim, S., Ismael, D., & Liang, Y. (2016). Development of high density fan out wafer level package (HD FOWLP) with multi-layer fine pitch RDL for mobile applications. In IEEE/ECTC Proceedings (pp. 1522–1529), May 2016.

    Google Scholar 

  409. Chen, Z., Che, F., Ding, M., Ho, D., Chai, T., & Rao, V. (2017). Drop impact reliability test and failure analysis for large size high density FOWLP package on package. IEEE/ECTC Proceedings, 2017, 1196–1203.

    Google Scholar 

  410. Lim, T., & Ho, D. (2018). Electrical design for the development of FOWLP for HBM integration. In IEEE/ECTC Proceedings (pp. 2136–2142), May 2018.

    Google Scholar 

  411. Ho, S., Hsiao, H., Lim, S., Choong, C., Lim, S., & Chong, C. (2019). High density RDL build-up on FO-WLP using RDL-first approach. In IEEE/EPTC Proceedings (pp. 23–27), December 2019.

    Google Scholar 

  412. Boon, S., Wee, D., Salahuddin, R., & Singh, R. (2019). Magnetic inductor integration in FO-WLP using RDL-first approach. In IEEE/EPTC Proceedings (pp. 18–22), December 2019.

    Google Scholar 

  413. Hsiao, H., Ho, S., Lim, S. S., Ching, W., Choong, C., Lim, S., Hong, H., & Chong, C. (2019). Ultra-thin FO package-on-package for mobile application. In IEEE/ECTC Proceedings (pp. 21–27), May 2019.

    Google Scholar 

  414. Lin, B., Che, F., Rao, V., & Zhang, X. (2019). Mechanism of moldable underfill (MUF) process for RDL-1st fan-out panel level packaging (FOPLP). In IEEE/ECTC Proceedings (pp. 1152–1158), May 2019.

    Google Scholar 

  415. Sekhar, V., Rao, V., Che, F., Choong, C., & Yamamoto, K. (2019). RDL-1st fan-out panel level packaging (FOPLP) for heterogeneous and economical packaging. In IEEE/ECTC Proceedings (pp. 2126–2133), May 2019.

    Google Scholar 

  416. Ma, M., Chen, S., Wu, P. I., Huang, A., Lu, C. H., Chen, A., Liu, C., & Peng, S. (2016). The development and the integration of the 5μm to 1μm half pitches wafer level Cu redistribution layers. In IEEE/ECTC Proceedings (pp. 1509–1614), May 2016.

    Google Scholar 

  417. Kim, Y., Bae, J., Chang, M., Jo, A., Kim, J., Park, S., Hiner, D., Kelly, M., & Do, W. (2017). SLIM™, high density wafer level fan-out package development with submicron RDL. In IEEE/ECTC Proceedings (pp. 18–13), December 2017.

    Google Scholar 

  418. Hiner, D., Kolbehdari, M., Kelly, M., Kim, Y., Do, W., Bae, J., Chang, M., & Jo, A. (2017). SLIM™ advanced fan-out packaging for high performance multi-die solutions. In IEEE/ECTC Proceedings (pp. 575–580), May 2017.

    Google Scholar 

  419. Lin, B., Ko, C., Ho, W., Kuo, C., Chen, K., Chen, Y., & Tseng, T. (2017). A comprehensive study on stress and warpage by design, simulation and fabrication of RDL-first panel level fan-out technology for advanced package. In IEEE/ECTC Proceedings (pp. 1413–1418), May 2017.

    Google Scholar 

  420. Suk, K., Lee, S., Youn, J., Lee, K., Kim, H., Lee, S., Kim, P., Kim, D., Oh, D., & Byun, J. (2018). Low cost Si-less RDL interposer package for high performance computing applications. In IEEE/ECTC Proceedings (pp. 64–69), May 2018.

    Google Scholar 

  421. Hwang, T., Oh, D., Song, E., Kim, K., Kim, J., & Lee, S. (2018). Study of advanced fan-out packages for mobile applications. In IEEE/ECTC Proceedings (pp. 343–348), May 2018.

    Google Scholar 

  422. Lee, C., Su, J., Liu, X., Wu, Q., Lin, J., Lin, P., Ko, C., Chen, Y., Shen, W., Kou, T., Huang, S., Lin, A., Lin, Y., & Chen, K. (2018). Optimization of laser release process for throughput enhancement of fan-out wafer level packaging. In IEEE/ECTC Proceedings (pp. 1818–1823), May 2018.

    Google Scholar 

  423. Cheng, W., Yang, C., Lin, J., Chen, W., Wang, T., & Lee, Y. (2018). Evaluation of chip-last fan-out panel level packaging with G2.5 LCD facility using FlexUPTM and mechanical de-bonding technologies. In IEEE/ECTC Proceedings (pp. 386–391), May 2018.

    Google Scholar 

  424. Cheng, S., Yang, C., Cheng, W., Cheng, S., Chen, W., Lai, H., Wang, T., & Lee, Y. (2019). Application of fan-out panel level packaging techniques for flexible hybrid electronics systems. In IEEE/ECTC Proceedings (pp. 1877–1822), May 2019.

    Google Scholar 

  425. Chang, K., Huang, C., Kuo, H., Jhong, M., Hsieh, T., Hung, M., & Wan, C. (2019). Ultra high density IO fan-out design optimization with signal integrity and power integrity. In IEEE/ECTC Proceedings (pp. 41–46), May 2019.

    Google Scholar 

  426. Lin, Y., Yew, M., Liu, M., Chen, S., Lai, T., Kavle, P., Lin, C., Fang, T., Chen, C., Yu, C., Lee, K., Hsu, C., Lin, P., Hsu, F., & Jeng, S. (2019). Multilayer RDL interposer for heterogeneous device and module integration. In EEE/ECTC Proceedings (pp. 931–936), 2019.

    Google Scholar 

  427. Miki, S., Taneda, H., Kobayashi, N., Oi, K., Nagai, K., & Koyama, T. (2019). Development of 2.3D high density organic package using low temperature bonding process with Sn–Bi solder. In IEEE/ECTC Proceedings (pp. 1599–1604), May 2019.

    Google Scholar 

  428. Murayama, K., Miki, S., Sugahara, H., Oi, K. (2020). Electro-migration evaluation between organic interposer and build-up substrate on 2.3D organic package. In IEEE/ECTC Proceedings (pp. 716–722), May 2020.

    Google Scholar 

  429. Takahashi, N., Susumago, Y., Lee, S., Miwa, Y., Kino, H., Tanaka, T., Fukushima, T. (2020). RDL-first Flexible FOWLP technology with dielets embedded in hydrogel. In IEEE/ECTC Proceedings (pp. 811–816), May 2020.

    Google Scholar 

  430. Scott, G., Bae, J., Yang, K., Ki, W., Whitchurch, N., Kelly, M., Zwenger, C., Jeon, J. (2020). Heterogeneous integration using organic interposer technology. In IEEE/ECTC Proceedings (pp. 885–892), May 2020.

    Google Scholar 

  431. Son, S., Khim, D., Yun, S., Park, J., Jeong, E., Yi, J., Yoo, J., Yang, K., Yi, M., Lee, S., Do, W., & Khim, J. (2020). A new RDL-first PoP fan-out wafer-level package process with chip-to-wafer bonding technology. In IEEE/ECTC Proceedings (pp. 1910–1915), May 2020.

    Google Scholar 

  432. Mok, I., Bae, J., Ki, W., Yoo, H., Ryu, S., Kim, S., Jung, G., Hwang, T., & Do, W. (2020). Wafer level void-free molded underfill for high-density fan-out packages. In IEEE/EPTC Proceedings (pp. 419–424), December 2020.

    Google Scholar 

  433. Chong, S., Rao, V., Yamamoto, K., Lim, S., & Huang, S. (2020). Development of RDL-1st fan-out panel-level packaging (FO-PLP) on 550 mm × 650 mm size panels. In IEEE/EPTC Proceedings (pp. 425–429), December 2020.

    Google Scholar 

  434. Rotaru, M., & Li, K. (2020). Electrical characterization and design of hyper-dense interconnect on HD-FOWLP for die to die connectivity for AI and ML accelerator applications. In IEEE/EPTC Proceedings (pp. 430–434), December 2020.

    Google Scholar 

  435. Lim, S., Jaafar, N., Chong, S., Lim, S., & Chai, T. (2020). Development of wafer level solder ball placement process for RDL-first. In FOWLP IEEE/EPTC Proceedings (pp. 435–439), December 2020.

    Google Scholar 

  436. Chai, T., Ho, D., Chong, S., Hsiao, H., Soh, S., Lim, S., Lim, S., Wai, E., Lau, B., Seit, W., Lau, G., Phua, T., Lim, K., Lim, S., Ye, Y. (2020). Fan-out wafer level packaging development line. In IEEE/EPTC Proceedings (pp. 440–444), December 2020.

    Google Scholar 

  437. Boon, S., Ho, W., Boon, S., Lim, S., Singh, R., & Raju, S. (202). Fan-out packaging with thin-film inductors. In IEEE/EPTC Proceedings (pp. 449–452), December 2020.

    Google Scholar 

  438. Ji, L., Chai, T., See, G., & Suo, P. (2020). Modelling and prediction on process dependent wafer warpage for FOWLP technology using finite element analysis and statistical approach. In IEEE/EPTC Proceedings, December 2020, pp. 386–393.

    Google Scholar 

  439. Sayeed, S., Wilding, D., Camara, J., Vital, D., Bhardwaj, S., & Raj, P. (2019). Deformable interconnects with embedded devices in flexible fan-out packages. In IMAPS Proceedings (pp. 8.1–6), October 2019.

    Google Scholar 

  440. Boulanger, R., Hander, J., & Moon, R. (2019). Innovative panel plating for heterogeneous integration. In IMAPS Proceedings (pp. 8.7–11), October 2019.

    Google Scholar 

  441. Fang, J., Huang, M., Tu, H., Lu, W., Yang, P. (2020). A production-worthy fan-out solution—ASE FOCoS chip last. In IEEE/ECTC Proceedings (pp. 290–295), May 2020.

    Google Scholar 

  442. Lin, J., Chung, C., Lin, C., Liao, A., Lu, Y., Chen, J., Ng, D. (2020). Scalable chiplet package using fan-out embedded bridge. In IEEE/ECTC Proceedings (pp. 14–18), May 2020.

    Google Scholar 

  443. Wang, T., Lai, H., Chung, Y., Feng, C., Chang, L., Yang, J., Yu, T., Yan, S., Lee, Y., & Chiu, S. (2020). Functional RDL of FOPLP by using LTPS-TFT technology for ESD protection application. In IEEE/ECTC Proceedings (pp. 25–30), May 2020.

    Google Scholar 

  444. Chong, S., Ching, E., Lim, S., Boon, S., & Chai, T. (2020). Demonstration of vertically integrated POP using FOWLP approach. In IEEE/ECTC Proceedings (pp. 873–878), May 2020.

    Google Scholar 

  445. Podpod, A., Phommahaxay, A., Bex. P., Slabbekoorn, J., Bertheau, J., Salahouelhadj, A., Sleeckx, E., Miller, A., Beyer, G., Beyne, E., Guerrero, A., Yess, K., Arnold, K. (2019). Advances in temporary carrier technology for high-density fan-out device build-up. In IEEE/ECTC Proceedings (pp. 340–345), May 2019.

    Google Scholar 

  446. Elmogi, A., Desmet, A., Missinne, J., Ramon, H., Lambrecht, J., Heyn, P., Pantouvaki, M., Campenhout, J., Bauwelinck, J., & Steenberge, G. (2019). Adaptive patterning of optical and electrical fan-out for photonic chip packaging. In IEEE/ECTC Proceedings (pp. 1757–1763), May 2019.

    Google Scholar 

  447. Chen, D., Hu, I., Chen, K., Shih, M., Tarng, D., Huang, D., On, J. (2019). Material and structure design optimization for panel-level fan-out packaging. In IEEE/ECTC Proceedings (pp. 1710–1715), May 2019.

    Google Scholar 

  448. Liang, C., Tsai, M., Lin, Y., Lin, I., Yang, S., Huang, M., Fang, J., Lin, K. (2021). The dynamic behavior of electromigration in a novel Cu Tall Pillar/Cu via interconnect for fan-out packaging. In Proceedings of IEEE/ECTC (pp. 327–333), May 2021.

    Google Scholar 

  449. Kim, Y., Jeon, Y., Lee, S., Lee, H., Lee, C., Kim, M., & Oh, J. (2021). Fine RDL patterning technology for heterogeneous packages in fan-out panel level packaging. In Proceedings of IEEE/ECTC (pp. 717–721), May 2021.

    Google Scholar 

  450. Xu, G., Sun, C., Ding, J., Liu, S., Kuang, Z., Liu, L., & Chen, Z. (2021). Simulation and experiment on warpage of heterogeneous integrated fan-out panel level package. In Proceedings of IEEE/ECTC (pp. 1944–1049), May 2021.

    Google Scholar 

  451. Lee, J., Yong, G., Jeong, M., Jeon, J., Han, D., Lee, M., De, W., Sohn, E., Kelly, M., Hiner, D., & Khim, J. (2021). S-connect fan-out interposer for next gen heterogeneous integration. In Proceedings of IEEE/ECTC (pp. 96–100), May 2021.

    Google Scholar 

  452. Sandstrom, C., Jose, B., Olson, T., & Bishop, C. (2021). Scaling M-series™ for chiplets. In Proceedings of IEEE/ECTC (pp. 125–129), May 2021.

    Google Scholar 

  453. Yamada, T., Takano, K., Menjo, T., & Takyu, S. (2021). A novel chip placement technology for fan-out WLP using self-assembly technique with porous chuck table. In Proceedings of IEEE/ECTC (pp. 1088–1094), May 2021.

    Google Scholar 

  454. Zhu, C., Wan, Y., Duan, Z., & Dai, Y. (2021). Co-design of chip-package-antenna in fan-out package for practical 77 GHz automotive radar. In Proceedings of IEEE/ECTC (pp. 1169–1174), May 2021.

    Google Scholar 

  455. Hsieh, Y., Lee, P., & Wang, C. (2021). Design and simulation of mm-wave diplexer on substrate and fan-out structure. In Proceedings of IEEE/ECTC (pp. 1707–1712), May 2021.

    Google Scholar 

  456. You, J., Li, J., Ho, D., Li, J., Zhuang, M., Lai, D., Chung, C., & Wang, Y. (2021). Electrical performances of fan-out embedded bridge. In Proceedings of IEEE/ECTC (pp. 2030–2033), May 2021.

    Google Scholar 

  457. Hudson, E., Baklwin, D., Olson, T., Bishop, C., Kellar, J., & Gabriel, R. (2021). Deca and cadence breakthrough heterogeneous integration barriers with adaptive patterning™”. In Proceedings of IEEE/ECTC (pp. 45–49), May 2021.

    Google Scholar 

  458. Park, Y., Kim, B., Ko, T., Kim, S., Lee, S., & Cho, T. (2021). Analysis on distortion of fan-out panel level packages (FOPLP). In Proceedings of IEEE/ECTC (pp. 90–95), May 2021.

    Google Scholar 

  459. Lim, J., Park, Y., Vera, E., Kim, B., & Dunlap, B. (2021). 600mm fan-out panel level packaging (FOPLP) as a scale up alternative to 300mm fan-out wafer level packaging (FOWLP) with 6-sided die protection. In Proceedings of IEEE/ECTC (pp. 1063–1068), May 2021.

    Google Scholar 

  460. Lin, Y., Chiu, W., Chen, C., Ding, H., Lee, O., Lin, A., Cheng, R., Wu, S., Chang, T., Chang, H., Lo, W., Lee, C., See, J., Huang, B., Liu, X., Hsiang, T., & Lee, C. (2021). A novel multi-chip stacking technology development using a flip-chip embedded interposer carrier integrated in fan-out wafer-level packaging. In Proceedings of IEEE/ECTC (pp. 1076–1081), May 2021.

    Google Scholar 

  461. Lee, C., Wang, C., Lee, C., Chen, C., Chen, Y., Lee, H., & Chow, T. (2021). Warpage estimation of heterogeneous panel-level fan-out package with fine line RDL and extreme thin laminated substrate considering molding characteristics. In Proceedings of IEEE/ECTC (pp. 1500–1504), May 2021.

    Google Scholar 

  462. Wittler, O., Dijk, M., Huber, S., Walter, H., & Schneider-Ramelow, M. (2021). Process dependent material characterization for warpage control of fan-out wafer level packaging. In Proceedings of IEEE/ECTC (pp. 2165–2170), May 2021.

    Google Scholar 

  463. Chang, J., Lu, J., & Ali, B. (2021). Advanced outlier die control technology in fan-out panel level packaging using feedforward lithography. In Proceedings of IEEE/ECTC (pp. 72–77), May 2021.

    Google Scholar 

  464. Wang, C., Huang, C., Chang, K., & Lin, Y. (2021). A new semiconductor package design flow and platform applied on high density fan-out chip. In Proceedings of IEEE/ECTC (pp. 112–117), May 2021.

    Google Scholar 

  465. Chiang, Y., Tai, S., Wu, W., Yeh, J., Wang, C., & Yu, D. (2021). InFO_oS (integrated fan-out on substrate) technology for advanced chiplet integration. In Proceedings of IEEE/ECTC (pp. 130–135), May 2021.

    Google Scholar 

  466. Lau, J. H., Chen, G., Huang, J., Chou, R., Yang, C., Liu, H., & Tseng, T. (2021). Fan-out (RDL-first) panel-level hybrid substrate for heterogeneous integration. In Proceedings of IEEE/ECTC (pp. 148–156), May 2021.

    Google Scholar 

  467. Lau, J. H., Ko, C., Lin, C., Tseng, T., Yang, K., Xia, T., Lin, B., Peng, C., Lin, E., Chang, L., Liu, N., Chiu, S., & Lee, T. (2021). Design, materials, process, fabrication, and reliability of mini-LED RGB display by fan-out panel-level packaging. In Proceedings of IEEE/ECTC (pp. 217–224), May 2021.

    Google Scholar 

  468. Lau, J. H., Ko, C., Peng, C., Yang, K., Xia, T., Lin, B., Chen, J., Huang, P., Tseng, T., Lin, E., Chang, L., Lin, C., Fan, Y., Liu, H., & Lu, W. (2021). Reliability of chip-last fan-out panel-level packaging for heterogeneous integration. In Proceedings of IEEE/ECTC (pp. 359–364), May 2021.

    Google Scholar 

  469. Lee, C., Huang, B., See, J., Liu, X., Lin, Y., Chiu, W., Chen, C., Lee, O., Ding, H., Cheng, R., Lin, A., Wu, S., Chang, T., Chang, H., & Chen, K. (2021). Versatile laser release material development for chip-first and chip-last fan-out wafer-level packaging. In Proceedings of IEEE/ECTC (pp. 736–741), May 2021.

    Google Scholar 

  470. Hwang, K., Kim, K., Gorrell, R., Kim, K., Yang, Y., & Zou, W. (2021). Laser releasable temporary bonding film for fan-out process with lage panel. In Proceedings of IEEE/ECTC (pp. 754–761), May 2021.

    Google Scholar 

  471. Liu, W., Yang, C., Chiu, T., Chen, D., Hsiao, C., & Tarng, D. (2021). A fracture mechanics evaluation of the Cu-polyimide interface in fan-out redistribution interconnect. In Proceedings of IEEE/ECTC (pp. 816–822), May 2021.

    Google Scholar 

  472. Rotaru, M., Tang, W., Rahul, D., & Zhang, Z. (2021). Design and development of high density fan-out wafer level package (HD-FOWLP) for deep neural network (DNN) chiplet accelerators using advanced interface bus (AIB). In Proceedings of IEEE/ECTC (pp. 1258–1263), May 2021.

    Google Scholar 

  473. Soroushiani, S., Nguyen, H., Cercado, C., Abdal, A., Bolig, C., Sayeed, S., Bhardwaj, S., Lin, W., & Raj, P. (2021). Wireless photonic sensors with flex fan-out packaged devices and enhanced power telemetry. In Proceedings of IEEE/ECTC (pp. 1550–1556), May 2021.

    Google Scholar 

  474. Tomas, A., Rodrigo, L., Helene, N., & Garnier, A. (2021). Reliability of fan-out wafer level packaging for III–V RF power MMICs. iN Proceedings of IEEE/ECTC (pp. 1779–1785), May 2021.

    Google Scholar 

  475. Schein, F., Elghazzali, M., Voigt, C., Tsigaras, I., Sawamoto, H., Strolz, E., Rettenmeier, R., & Bottcher, L. (2021). Advances in dry etch processing for high-density vertical interconnects in fan-out panel-level packaging and IC substrates. In Proceedings of IEEE/ECTC (pp. 1819–1915), May 2021.

    Google Scholar 

  476. Hu, W., Fei, J., Zhou, M., Yang, B., & Zhang, X. (2021). Comprehensive characterization of warpage and fatigue performance of fan-out wafer level package by taking into account the viscoelastic behavior of EMC and the dielectric layer. In Proceedings of IEEE/ECTC (pp. 2003–2008), May 2021.

    Google Scholar 

  477. Garnier, A., Castagne, L., Greco, F., Guillemet, T., Marechal, L., Neffati, M., Franiatte, R., Coudrain, P., Piotrowicz, S., & Simon, G. (2021). System in package embedding III–V chips by fan-out wafer-level packaging for RF applications. In Proceedings of IEEE/ECTC (pp. 2016–2023), May 2021.

    Google Scholar 

  478. Kim, S., Park, S., Chu, S., Jung, S., Kim, G., Oh, D., Kim, J., Kim, S., & Lee, S. (2021). Package design optimization of the fan-out interposer system. In Proceedings of IEEE/ECTC (pp. 22–27), May 2021.

    Google Scholar 

  479. Kim, J., Kim, K., Lee, E., Hong, S., Kim, J., Ryu, J., Lee, J., Hiner, D., Do, W., & Khim, J. (2021). Chip-last HDFO (high-density fan-out) interposer-PoP. In Proceedings of IEEE/ECTC (pp. 56–61), May 2021.

    Google Scholar 

  480. Fang, J., Fong, C., Chen, J., Chang, H., Lu, W., Yang, P., Tu, H., & Huang, M. (2021). A high performance package with fine-pitch RDL quality management. In Proceedings of IEEE/ECTC (pp. 78–83), May 2021.

    Google Scholar 

  481. Kim, J., Choi, J., Kim, S., Choi, J., Park, Y., Kim, G., Kim, S., Park, S., Oh, H., Lee, S., Cho, T., & Kim, D. (2021). Cost effective 2.3D packaging solution by using fanout panel level RDL. In Proceedings of IEEE/ECTC (pp. 310–314), May 2021.

    Google Scholar 

  482. Ikehira, S. (2021). Novel insulation materials suitable for FOWLP and FOPLP. In Proceedings of IEEE/ECTC (pp. 729–735), May 2021.

    Google Scholar 

  483. Chong, S., Lim, S., Seit, W., Chai, T., & Sanchez, D. (2021). Comprehensive study of thermal impact on warpage behaviour of FOWLP with different die to mold ratio. In Proceedings of IEEE/ECTC (pp. 1082–1087), May 2021.

    Google Scholar 

  484. Mei, S., Lim, T., Chong, C., Bhattacharya, S., & Gang, M. (2021). FOWLP AiP optimization for automotive radar applications. In Proceedings of IEEE/ECTC (pp. 1156–1161), May 2021.

    Google Scholar 

  485. Wu, W., Chen, K., Chen, T., Chen, D., Lee, Y., Chen, C., & Tarng, D. (2021). Development of artificial neural network and topology reconstruction schemes for fan-out wafer warpage analysis. In Proceedings of IEEE/ECTC (pp. 1450–1456), May 2021.

    Google Scholar 

  486. Alam, A., Molter, M., Kapoor, A., Gaonkar, B., Benedict, S., Macyszyn, L., Joseph, M., & Iyer, S. (2021). Flexible heterogeneously integrated low form factor wireless multi-channel surface electromyography (sEMG) device. In Proceedings of IEEE/ECTC (pp. 1544–1549), May 2021.

    Google Scholar 

  487. Hsieh, M., Bong, Y., Huang, L., Bai, B., Wang, T., Yuan, Z., & Li, Y. (2021). Characterizations for 25G/100G high speed fiber optical communication applications with hermetic eWLB (embedded wafer level ball grid array) technology. In Proceedings of IEEE/ECTC (pp. 1701–1706), May 2021.

    Google Scholar 

  488. Zhang, X., Lau, B. L., Han, Y., Chen, H., Jong, M. C., Lim, S. P. S., Lim, S. S. B., Wang, X., Andriani, Y., & Liu, S. (2021). Addressing warpage issue and reliability challenge of fan-out wafer-level packaging (FOWLP). In Proceedings of IEEE/ECTC (pp. 1984–1990), May 2021.

    Google Scholar 

  489. Braun, T., Le, T., Rossi, M., Ndip, I., Holck, O., Becker, K., Bottcher, M., Schiffer, M., Aschenbrenner, R., Muller, F., Voitel, M., Schneider-Ramelow, M., Wieland, M., Goetze, C., Trewhella, J., & Berger, D. (2021). Development of a scalable AiP module for mmwave 5G MIMO applications based on a double molded FOWLP approach. In Proceedings of IEEE/ECTC (pp. 2009–2015), May 2021.

    Google Scholar 

  490. Ho, S., Yen, N., McCold, C., Hsieh, R., Nguyen, H., & Hsu, H. (2021). Fine pitch line/space lithography for large area package with multi-field stitching. In Proceedings of IEEE/ECTC (pp. 2035–2042), May 2021.

    Google Scholar 

  491. Argoud, M., Eleouet, R., Dechamp, J., Allouti, N., Pain, L., Tiron, R., Mori, D., Asahara, M., Oi, Y., & Kan, K. (2021). Lamination of dry film epoxy molding compounds for 3D packaging: advances and challenges. In Proceedings of IEEE/ECTC (pp. 2043–2048), May 2021.

    Google Scholar 

  492. Chong, C., Lim, T., Ho, D., Yong, H., Choong, C., Lim, S., & Bhattacharya, S. (2021). Heterogeneous integration with embedded fine interconnect. In Proceedings of IEEE/ECTC (pp. 2216–2221), May 2021.

    Google Scholar 

  493. Choi, J., Jin, J., Kang, G., Hwang, H., Kim, B., Yun, H., Park, J., Lee, C., Kang, U., & Lee, J. (2021). Novel approach to highly robust fine pitch RDL process. In Proceedings of IEEE/ECTC (pp. 2246–2251), May 2021.

    Google Scholar 

  494. Yip, L., Lin, R., & Peng, C. (2022). Reliability challenges of high-density fan-out packaging for high-performance computing applications. In Proceedings of IEEE/ECTC (pp. 1454–1458), May 2022.

    Google Scholar 

  495. Lim, J., Kim, B., Valencia-Gacho, R., & Dunlap, B. (2022). Component level reliability evaluation of low cost 6-sided 1. In E. O´Toole, J. Silva, F. Cardoso, J. Silva, L. Alves, M. Souto, N. Delduque, A. Coelho, J. Silva, W. Do, & J. Khim (Eds.), Die protection versus wafer level chip scale packaging with 350um ball pitch Proceedings of IEEE/ECTC (pp. 1791–1797), May 2022.

    Google Scholar 

  496. A hybrid panel level package (hybrid PLP) technology based on a 650-mm × 650-mm platform. In Proceedings of IEEE/ECTC (pp. 824–826), May 2022.

    Google Scholar 

  497. Ha, E., Jeong, H., Min, K., Kim, K., & Jung, S. B. (2022). RF characterization in range of 18GHz in fan-out package structure molded by epoxy molding compound with EMI shielding property. In Proceedings of IEEE/ECTC (pp. 2002–2007), May 2022.

    Google Scholar 

  498. Han, X., Wang, W., & Jin, Y. (2022). Influence of height difference between chip and substrate on RDL in silicon-based fan-out package. In Proceedings of IEEE/ECTC (pp. 2328–2332), May 2022.

    Google Scholar 

  499. Davis, R., & Jose, B. (2022). Harnessing the power of 4nm silicon with Gen 2 M-Series™ Fan-out and adaptive Patterning® providing ultra-highdensity 20μm device bond pad pitch. In Proceedings of IEEE/ECTC (pp. 845–850), May 2022.

    Google Scholar 

  500. Lee, Y., Chen, C., Chen, K., Wong, J., Lai, W., Chen, T., Chen, D., & Tarng, D. (2022). Effective computational models for addressing asymmetric warping of fan-out reconstituted wafer packaging. In Proceedings of IEEE/ECTC (pp. 1068–1073), May 2022.

    Google Scholar 

  501. Son, H., Sung, K., Choi, B., Kim, J., & Lee, K. (2022). Fan-out wafer level package for memory applications. In Proceedings of IEEE/ECTC (pp.1349–1354), May 2022.

    Google Scholar 

  502. Jin, S., Do, W., Jeong, J., Cha, H., Jeong, Y., & Khim, J. (2022). Substrate silicon wafer integrated fan-out technology (S-SWIFT£) packaging with fine pitch embedded trace RDL. In Proceedings of IEEE/ECTC (pp. 1355–1361), May 2022.

    Google Scholar 

  503. Chou, B., Sawyer, B., Lyu, G., Timurdugan, E., Minkenberg, C., Zilkie, A., McCann, D. (2022). Demonstration of fan-out silicon photonics module for next generation co-packaged optics (CPO) application. In Proceedings of IEEE/ECTC (pp. 394–402), May 2022.

    Google Scholar 

  504. Braun, T., Holck, O., Obst, M., Voges, S., Kahle, R., Bottchr, L., Billaud, M., Stobbe, L., Becker, K., Aschenbrenner, R., Voitel, M., Schein, F., Gerholt, L., & Schneider-Ramelow, M. (2022). Panel level packaging—Where are the technology limits? In Proceedings of IEEE/ECTC (pp. 807–818), May 2022.

    Google Scholar 

  505. Lim, J., Dunlap, B., Hong, S., Shin, H., & Kim, B. (2022). Package reliability evaluation of 600mm FOPLP with 6-sided die protection with 0.35mm ball pitch. In Proceedings of IEEE/ECTC (pp. 828–835), May 2022.

    Google Scholar 

  506. Jeon, Y., Kim, Y., Kim, M., Lee, S., Lee, H., Lee, C., & Oh, J. (2022). A study of failure mechanism in the formation of fine RDL patterns and Vias for heterogeneous packages in chip last fan-out panel level packaging. In Proceedings of IEEE/ECTC (pp. 856–861), May 2022.

    Google Scholar 

  507. Lin, V., Lai, D., & Wang, Y. (2022). The optimal solution of fan-out embedded bridge (FO-EB) package evaluation during the process and reliability test. In Proceedings of IEEE/ECTC (pp. 1080–1084), May 2022.

    Google Scholar 

  508. Su, P., Lin, D., Lin, S., Xu, X., Lin, R., Hung, L., & Wang, Y. (2022). High thermal graphite TIM solution applied to fanout platform. In Proceedings of IEEE/ECTC (pp. 1224–1227), May 2022.

    Google Scholar 

  509. Lee, P., Hsieh, Y., Lo, H., Li, C., Huang, F., Lin, J., Hsu, W., & Wang, C. (2022). Integration of foundry MIM capacitor and OSAT fan-out RDL for high performance RF filters. In Proceedings of IEEE/ECTC (pp. 1310–1315), May 2022.

    Google Scholar 

  510. Nagase. K., Fujii, A., Zhong, K., & Kariya, Y. (2022). Fracture simulation of redistribution layer in fan-out wafer-level package based on fatigue crack growth characteristics of insulating polymer. In Proceedings of IEEE/ECTC (pp. 1602–1607), May 2022.

    Google Scholar 

  511. Yin, W., Lai, W., Lu, Y., Chen, K., Huang, H., Chen, T., Kao, C., Hung, C. (2022). Mechanical and thermal characterization analysis of chip-last fan-out chip on substrate. In Proceedings of IEEE/ECTC (pp. 1711–1719), May 2022.

    Google Scholar 

  512. Yao, P., Yang, J., Zhang, Y., Fan, X., Chen, H., Yang, J., & Wu, J. (2022). Physics-based nested-ANN approach for fan-out wafer-level package reliability prediction. In Proceedings of IEEE/ECTC (pp. 1827–1833).

    Google Scholar 

  513. Chen, G., Lau, J. H., Yang, C., Huang, J., Peng, A., Liu, H., Tseng, T., & Li, M. (2022). 2.3D hybrid substrate with ajinomoto buildup film for heterogeneous integration. In Proceedings of IEEE/ECTC (pp. 30–37), May 2022.

    Google Scholar 

  514. Fan, J., Qian, Y., Chen, W., Jiang, J., Tang, Z., Fan, X., & Zhang, G. (2022). Genetic algorithm–assisted design of redistribution layer vias for a fan-out panel level SiC MOSFET power module packaging. In Proceedings of IEEE/ECTC (pp. 260–265), May 2022.

    Google Scholar 

  515. Lin, I., Lin, C., Pan, Y., Lwo, B., & Ni, T. (2022). Characteristics of glass-embedded FOAiP with antenna arrays for 60 GHz mmwave applications. In Proceedings of IEEE/ECTC (pp. 358–364), May 2022.

    Google Scholar 

  516. Gourikutty, S., Jong, M., Kanna, C., Ho, D., Wei, S., Lim, S., Wu, J., Lim, T., Mandal, R., Liow, J., & Bhattacharya, S. (2022). A novel packaging platform for high-performance optical engines in hyperscale data center applications. In Proceedings of IEEE/ECTC (pp. 422–427), May 2022.

    Google Scholar 

  517. Lee, H., Lee, K., Youn, D., Hwang, K., & Kim, J. (2022). Hybrid stacked-die package solution for extremely small-form-factor package. In Proceedings of IEEE/ECTC (pp. 574–578), May 2022.

    Google Scholar 

  518. Lim, S., Chong, S., Ho, D., & Chai, T. (2022). Assembly challenges and demonstrations of ultra-large antenna in package for automotive radar applications. In Proceedings of IEEE/ECTC (pp. 635–642), May 2022.

    Google Scholar 

  519. Yang, C., Chiu, T., Yin, W., Chen, D., Kao, C., & Tarng, D. (2022). Development and application of the moisture-dependent viscoelastic model of polyimide in hygro-thermo-mechanical analysis of fan-out interconnect. In Proceedings of IEEE/ECTC (pp. 746–753), May 2022.

    Google Scholar 

  520. Kim, D., Lee, J., Choi, G., Lee, S., Jeong, G., Kim, H., Lee, S., & Kim, D. (2022). Study of reliable via structure for fan out panel level package (FoPLP). In Proceedings of IEEE/ECTC (pp. 819–823), May 2022.

    Google Scholar 

  521. Wong, J., Wu, N., Lai, W., Chen, D., Chen, T., Chen, C., Wu, Y., Chang, Y., Kao, C., Tarng, D., Lee, T., & Jung, C. (2022). Warpage and RDL stress analysis in large fan-out package with multi-chiplet integration. In Proceedings of IEEE/ECTC (pp. 1074–1079), May 2022.

    Google Scholar 

  522. Kim, K., Chae, S., Kim, J., Shin, J., Yoon, O., & Kim, S. (2022). High fluorescence photosensitive materials for AOI inspection of fan-out panel level package. In Proceedings of IEEE/ECTC (pp. 1265–1270), May 2022.

    Google Scholar 

  523. Ho, S., Hsiao, H., Lau, B., Lim, S., Lim, T., and T. Chai. “Development of Two-Tier FO-WLP AiPs for Automotive Radar Application”, Proceedings of IEEE/ECTC, May 2022 (pp. 1376–1383).

    Google Scholar 

  524. Sun, H., Ezhilarasu, G., Ouyand, G., Irwin, R., & Lyer, S. (2022). A heterogeneously integrated and flexible inorganic micro-display on FlexTrateTM using fan-out waferlevel packaging. In Proceedings of IEEE/ECTC (pp. 1390–1394), May 2022.

    Google Scholar 

  525. Wang, H., Lyu, G., Deng, Y., Hu, W., Yang, B., Zhou, M., & Zhang, X. (2022). A comprehensive study of crack initiation and delamination propagation at the Cu/polyimide interface in fan-out wafer level package during reflow process. In Proceedings of IEEE/ECTC (pp. 1459–1464), May 2022.

    Google Scholar 

  526. Yoo, J., Lee, D., Yang, K., Kim, J., Do, W., & Khim, J. (2022). Optimization of temporary carrier technology for HDFO packaging. In Proceedings of IEEE/ECTC (pp. 1495–1499), May 2022.

    Google Scholar 

  527. Chang, J., Shay, C., Webb, J., & Chang, T. (2022). Analysis of pattern distortion by panel deformation and addressing it by using extremely large exposure field fine-resolution lithography. In Proceedings of IEEE/ECTC (pp. 1505–1510), May 2022.

    Google Scholar 

  528. Schein, F., Voigt, C., Gerhold, L., Tsigaras, I., Elgha, M. (2022). Proceedings of IEEE/ECTC, May 2022; Ali, Sawamoto, H., Strolz, E., Rettenmerier, R., Kahle, R., & Boucher, L. (2022). Dry etch processing in fan-out panel-level packaging—An application for high-density vertical interconnects and beyond. In Proceedings of IEEE/ECTC (pp. 1518–1523), May 2022.

    Google Scholar 

  529. Lee, H., Hwang, K., Kwon, H., Hwang, J., Pak, J., & Choi, J. (2022). Modeling high-frequency and DC Path of embedded discrete capacitor connected by double-side terminals with multilayered organic substrate and RDL-based fan-out package. In Proceedings of IEEE/ECTC (pp. 2217–2221), May 2022.

    Google Scholar 

  530. Sun, M., Lim, T., & Chong, C. (2022). 77 GHz cavity-backed AiP array in FOWLP technology. In Proceedings of IEEE/ECTC (pp. 82–86), May 2022.

    Google Scholar 

  531. Sun, M, Lim, T., & Yang, H. (2022). FOWLP AiP for SOTM applications. In Proceedings of IEEE/ECTC (pp. 353–357), May 2022.

    Google Scholar 

  532. Woehrmann, M., Mackowiak, P., Schiffer, M., Lang, K., & Schneider-Ramelow, M. (2022). A novel quantitative adhesion measurement method for thin polymer and metal layers for microelectronic applications. In Proceedings of IEEE/ECTC (pp. 754–761), May 2022.

    Google Scholar 

  533. Park, S., Park, J., Bae, S., Park, J., Jung, T., Yun, H., Jeong, K., Park, S., Choi, J., Kang, U., & Kang, D. (2022). Realization of high A/R and fine pitch Cu pillars incorporating high speed electroplating with novel strip process. In Proceedings of IEEE/ECTC (pp. 1005–1009), May 2022.

    Google Scholar 

  534. Uhrmann, T., Povazay, B., Zenger, T., Thallner, B., Holly, R., Lednicka, B., Reybrouck, M., Herch, N., Persijn, B., Janssen, D., Vanclooster, S., & Heirbaut, S. (2022). Optimization of PI & PBO layers lithography process for high density fan-out wafer level packaging and next generation heterogeneous integration applications employing digitally driven maskless lithography. In Proceedings of IEEE/ECTC (pp. 1500–1504), May 2022.

    Google Scholar 

  535. Jayaram, V., Mehta, V., Bai, Y., & Decker, J. (2022). Solutions to overcome warpage and voiding challenges in fanout wafer-level packaging. In Proceedings of IEEE/ECTC (pp. 1511–1517), May 2022.

    Google Scholar 

  536. Salahouelhadj, A., Gonzalez, M., Podpod, A., & Beyne, E. (2022). Investigating moisture diffusion in mold compounds (MCs) for fan-out-waferlevel-packaging (FOWLP). In Proceedings of IEEE/ECTC (pp. 1704–1710), May 2022.

    Google Scholar 

  537. Liu, Z., Bai, L., Zhu, Z., Chen, L., & Sun, Q. (2022). Design and simulation to reduce the crosstalk of ultra-fine line width/space in the redistribution layer. In Proceedings of IEEE/ECTC (pp. 2078–2084), May 2022.

    Google Scholar 

  538. Su, J., Ho, D., Pu, J., & Wang, Y. (2022). Chiplets integrated solution with FO-EB package in HPC and networking application. In Proceedings of IEEE/ECTC (pp. 2135–2140), May 2022.

    Google Scholar 

  539. Venkatesh, P., Irwin, R., Alam, A., Molter, M., Kapoor, A., Gaonkar, B., Macyszyn, L., Joseph, M., Iyer, S. (2022). Smartphone Ap-enabled Flex sEMG patch using FOWLP. In Proceedings of IEEE/ECTC (pp. 2263–2268), May 2022.

    Google Scholar 

  540. Sato, J., Teraki, S., Yoshida, M., & Kondo, H. (2017). High performance insulating adhesive film for high-frequency applications. In Proceedings of IEEE/ECTC (pp. 1322–1327), May 2017.

    Google Scholar 

  541. Tasaki, T. (2018). Low transmission loss flexible substrates using low Dk/Df polyimide adhesives. TechConnect Briefs, V4, 75–78.

    Google Scholar 

  542. Hayes, C., Wang, K., Bell, R., Calabrese, C., Kong, J., Paik, J., Wei, L., Thompson, K., Gallagher, M., & Barr, R. (2019). Low loss photodielectric materials for 5G HS/HF applications. In Proceeding of International Symposium on Microelectronics (pp. 1–5), October 2019.

    Google Scholar 

  543. Hayes, C., Wang, K., Bell, R., Calabrese, C., Gallagher, M., Thompson, K., & Barr, R. (2020). High aspect ratio, high resolution, and broad process window description of a low loss photodielectric for 5G HS/HF applications using high and low numerical aperture photolithography tools. In Proceedings of IEEE/ECTC (pp. 623–628), May 2020.

    Google Scholar 

  544. Matsukawa, D., Nagami, N., Mizuno, K., Saito, N., Enomoto, T., & Motobe, T. (2019). Development of low Dk and Df polyimides for 5G application. In Proceeding of International Symposium on Microelectronics (pp. 1–4), October 2019.

    Google Scholar 

  545. Ito, H., Kanno, K., Watanabe, A., Tsuyuki, R., Tatara, R., Raj, M., & Tummala, R. (2019). Advanced low-loss and high-density photosensitive dielectric material for RF/millimeter-wave applications. In Proceedings of International Wafer Level Packaging Conference (pp. 1–6), October 2019.

    Google Scholar 

  546. Nishimura, I., Fujitomi, S., Yamashita, Y., Kawashima, N., & Miyaki, N. (202). Development of new dielectric material to reduce transmission loss. In Proceedings of IEEE/ECTC (pp. 641–646), May 2020.

    Google Scholar 

  547. Araki, H., Kiuchi, Y., Shimada, A., Ogasawara, H., Jukei, M., & Tomikawa, M. (2020). Low Df polyimide with photosenditivity for high frequency applications. Journal of Photopolymer Science and Technology, 33, 165–170.

    Article  Google Scholar 

  548. Araki, H., Kiuchi, Y., Shimada, A., Ogasawara, H., Jukei, M., & Tomikawa, M. (2020). Low permittivity and dielectric loss polyimide with patternability for high frequency applications. In Proceedings of IEEE/ECTC (pp. 635–640), May 2020.

    Google Scholar 

  549. Tomikawa, M., Araki, H., Jukei, M., Ogasawarai, H., & Shimada, A. (2019). Low temperature curable low Df photosensitive polyimide. In Proceeding of International Symposium on Microelectronics (pp. 1–5), October 2019.

    Google Scholar 

  550. Tomikawa, M., Araki, H., Jukei, M., Ogasawarai, H., & Shimada, A. (2020). Hsigh frequency dielectric properties of low Dk, Df polyimides. In Proceeding of International Symposium on Microelectronics (pp. 1–5), October 2020.

    Google Scholar 

  551. Takahashi, K., Kikuchi, S., Matsui, A., Abe, M., & Chouraku, K. (2020). Complex permittivity measurements in a wide temperature range for printed circuit board material used in millimeter wave band. In Proceedings of IEEE/ECTC (pp. 938–945), May 2020.

    Google Scholar 

  552. Han, K., Akatsuka, Y., Cordero, J., Inagaki, S., & Nawrocki, D. (2020). Novel low temperature curable photo-patternable low Dk/Df for wafer level packaging (WLP). In Proceedings of IEEE/ECTC (pp. 83–88), May 2020.

    Google Scholar 

  553. Yamamoto, K., Koga, S., Seino, S., Higashita, K., Hasebe, K., Shiga, E., Kida, T., & Yoshida, S. (2020). Low loss BT resin for substrates in 5G communication module. In Proceedings of IEEE/ECTC (pp. 1795–1800), May 2020.

    Google Scholar 

  554. Kakutani, T., Okamoto, D., Guan, Z., Suzuki, Y., Ali, M., Watanabe, A., Kathaperumal, M., & Swaminathan, M. (2020). Advanced low loss dielectric material reliability and filter characteristics at high frequency for mmwave applications. In Proceedings of IEEE/ECTC (pp. 1795–1800), May 2020.

    Google Scholar 

  555. Guo, J., Wang, H., Zhang, C., Zhang, Q., & Yang, H. (2020). MPPE/SEBS Composites with low dielectric loss for high-frequency copper clad laminates applications. Polymers, V12, 1875–1887.

    Article  Google Scholar 

  556. Luo, S., Wang, N., Zhu, P., Zhao, T., & Sun, R. (2022). Solid-diffusion synthesis of robust hollow silica filler with low Dk and low Df. In Proceedings of IEEE/ECTC (pp. 71–76), May 2022.

    Google Scholar 

  557. Meyer, F., Koch, M., Pradella, J., & Larbig, G. (2022). Novel polymer design for ultra-low stress dielectrics. In Proceedings of IEEE/ECTC (pp. 2095–2098), May 2022.

    Google Scholar 

  558. Muguruma, T., Behr, A., Saito, H., Kishino, K., Suzuki, F., Shin, T., & Umehara, H. (2022). Low-dielectric, low-profile IC substrate material development for 5G applications. In Proceedings of IEEE/ECTC (pp. 56–61), May 2022.

    Google Scholar 

  559. Kumano, T., Kurita, Y., Aoki, K., & Kashiwabara, T. (2022). Low dielectric new resin cross-linkers. In Proceedings of IEEE/ECTC (pp. 67–70), May 2022.

    Google Scholar 

  560. Lee, T., Lau, J. H., Ko, C., Xia, T., Lin, E., Yang, H., Lin, B., Peng, T., Chang, L., Chen, J., Fang, Y., Charn, E., Wang, J., Ma, M., & Tseng, T. (2021). Development of high-density hybrid substrate for heterogeneous integration. In IEEE/ICSJ Proceedings. Kyoto, November 2021.

    Google Scholar 

  561. Lee, T., Lau, J. H., Ko, C. T., Xia, T., Lin, E., Yang, K., Lin, B., Peng, C., Chang, L., Chen, J., Fang, Y., Liao, L., Charn, E., Wang, J., & Tseng, T. (2022). Characterization of low loss dielectric materials for high-speed and high-frequency applications. Materials Journal, 15, 1–16.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to John H. Lau .

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Lau, J.H. (2023). State-Of-The-Art of Advanced Packaging. In: Chiplet Design and Heterogeneous Integration Packaging. Springer, Singapore. https://doi.org/10.1007/978-981-19-9917-8_1

Download citation

  • DOI: https://doi.org/10.1007/978-981-19-9917-8_1

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-19-9916-1

  • Online ISBN: 978-981-19-9917-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics