Skip to main content
Log in

Nanoimprint lithography: 2D or not 2D? A review

  • Invited paper
  • Published:
Applied Physics A Aims and scope Submit manuscript

Abstract

Nanoimprint lithography (NIL) is more than a planar high-end technology for the patterning of wafer-like substrates. It is essentially a 3D process, because it replicates various stamp topographies by 3D displacement of material and takes advantage of the bending of stamps while the mold cavities are filled. But at the same time, it keeps all assets of a 2D technique being able to pattern thin masking layers like in photon- and electron-based traditional lithography. This review reports about 20 years of development of replication techniques at Paul Scherrer Institut, with a focus on 3D aspects of molding, which enable NIL to stay 2D, but at the same time enable 3D applications which are “more than Moore.” As an example, the manufacturing of a demonstrator for backlighting applications based on thermally activated selective topography equilibration will be presented. This technique allows generating almost arbitrary sloped, convex and concave profiles in the same polymer film with dimensions in micro- and nanometer scale.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20

Similar content being viewed by others

References

  1. R.C. Jaeger, C. Richard, Lithography. Introduction to Microelectronic Fabrication, 2nd edn. (Prentice Hall, Upper Saddle River, 2002), p. 315. ISBN 0-201-44494-1

  2. M. Madou, Manufacturing Techniques for Microfabrication and Nanotechnology, 3rd edn. (CRC Press, Taylor & Francis, Boca Rayton, FL). ISBN 978-1-4200-5519-1

  3. E.W. Becker, W. Ehrfeld, P. Hagmann, A. Maner, D. Münchmeyer, Fabrication of microstructures with high aspect ratios and great structural heights by synchrotron radiation lithography, galvanoforming, and plastic moulding (LIGA process). Microelectron. Eng. 4(1), 35–56 (1986)

    Article  Google Scholar 

  4. W. Menz, J. Mohr, O. Paul, Microsystem Technology, 2nd edn. (Wiley-VCH, Weinheim, 2008). ISBN: 978-3-527-61301-4

  5. M. Messerschmidt, A. Schleunitz, C. Spreu, T. Werner, M. Vogler, F. Reuther, A. Bertz, H. Schift, G. Grützner, Thermal nanoimprint resist for the fabrication of high-aspect-ratio patterns. Microelectron. Eng. 98(8), 107–111 (2012)

    Article  Google Scholar 

  6. S. Gorelick, V.A. Guzenko, J. Vila-Comamala, C. David, Direct e-beam writing of dense and high aspect ratio nanostructures in thick layers of PMMA for electroplating. Nanotechnology 21, 295303 (2010)

    Article  Google Scholar 

  7. A. Schleunitz, H. Schift, Fabrication of 3-D patterns with vertical and sloped sidewalls by grayscale electron-beam lithography and thermal annealing. Microelectron. Eng. 88(8), 2736–2739 (2011)

    Article  Google Scholar 

  8. J.K. Gansel, M. Latzel, A. Frölich, J. Kaschke, M. Thiel, M. Wegener, Tapered gold-helix metamaterials as improved circular polarizers. Appl. Phys. Lett. 100, 101109 (2012)

    Article  ADS  Google Scholar 

  9. S. Fujimori, Fine pattern fabrication by the molded mask method (nanoimprint lithography) in the 1970s. Jpn. J. Appl. Phys. 48, 06FH01 (2009)

    Article  Google Scholar 

  10. S.Y. Chou, P.R. Krauss, P.J. Renstrom, Imprint of sub-25 nm vias and trenches in polymers. Appl. Phys. Lett. 67(21), 3114–3116 (1995)

    Article  ADS  Google Scholar 

  11. J. Haisma, M. Verheijen, K. van den Heuvel, J. van den Berg, Mold-assisted lithography: a process for reliable pattern transfer. J. Vac. Sci. Technol. B 14, 4124–4128 (1996)

    Article  Google Scholar 

  12. R.W. Jaszewski, H. Schift, J. Gobrecht, P. Smith, Hot embossing in polymers as a direct way to pattern resist. Microelectron. Eng. 41(42), 575–578 (1998)

    Article  Google Scholar 

  13. M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michaelson, S.V. Sreenivasan, J.G. Ekerdt, C.G. Willson, Step and flash imprint lithography: a new approach to high resolution patterning, in Proceedings of the SPIE 3676, (1999), pp. 379–385

  14. B. Heidari, Nanoimprint lithography at the 6 in. wafer scale. J. Vac. Sci. Technol. B 18, 3557–3560 (2000)

    Article  Google Scholar 

  15. W. Zhou, Nanoimprint Lithography: An enabling process for nanofabrication (Springer-Verlag Berlin Heidelberg, 2013), pp. 256. ISBN: 978-3-642-34427-5

  16. M. Beck, M. Graczyk, I. Maximov, E.-L. Sarwe, T.G.I. Ling, M. Keil, L. Montelius, Improving stamps for 10 nm level wafer scale nanoimprint lithography. Microelectron. Eng. 6162, 441–448 (2002)

    Article  Google Scholar 

  17. Y. Hirai, Y. Tanaka, Application of nano-imprint lithography. J. Photopolym. Sci. Technol. 15, 475–480 (2002)

    Article  Google Scholar 

  18. D.J. Resnick, S.V. Sreenivasan, C.G. Willson, Step & flash imprint lithography. Mater. Today 8(2), 34–42 (2005)

    Article  Google Scholar 

  19. L.J. Guo, Recent progress in nanoimprint technology and its applications. J. Phys. D Appl. Phys. 37, R123–R141 (2004)

    Article  ADS  Google Scholar 

  20. L.J. Guo, Nanoimprint lithography: methods and material requirement. Adv. Mater. 19, 495–513 (2007)

    Article  Google Scholar 

  21. L.J. Heyderman, H. Schift, C. David, J. Gobrecht, T. Schweizer, Flow behaviour of thin polymer films used for hot embossing lithography. Microelectron. Eng. 54, 229–245 (2000)

    Article  Google Scholar 

  22. H.-C. Scheer, H. Schulz, A contribution to the flow behaviour of thin polymer films during hot embossing lithography. Microelectron. Eng. 56(3–4), 311–332 (2001)

    Article  Google Scholar 

  23. H. Schift, L.J. Heyderman, Chapter (4), in Alternative Lithography—Unleashing the Potential of Nanotechnology. Book Series on Nanostructure Science and Technology, ed. by C. Sotomayor Torres, D.J. Lockwood (Kluwer Academic/Plenum Publishers, 2003), p. 46. ISBN 0-306-47858-7

  24. H. Tan, A. Gilbertson, S.Y. Chou, Roller nanoimprint lithography. J. Vac. Sci. Technol. B 16, 3926–3928 (1998)

    Article  Google Scholar 

  25. H. Schift, Roll embossing and roller imprint, Chapter in Science and new technology in nanoimprint, in Advanced Technology and Application of Nanoimprint, ed. by Y. Hirai. Frontier Publishing Co., Ltd., Japan, ISBN 4-902410-09-5, June 2006, pp. 74–89, and Japanese translation (extract) 90–93 (2006)

  26. H. Schift, M. Halbeisen, U. Schütz, B. Delahoche, J. Gobrecht, Surface structuring of textile fibers using roll embossing. Microelectron. Eng. 83, 855–858 (2006)

    Article  Google Scholar 

  27. S.H. Ahn, L.J. Guo, Large-area roll-to-roll and roll-to-plate nanoimprint lithography: a step toward high-throughput application of continuous nanoimprinting. ACS Nano 3(8), 2304–2310 (2009)

    Article  Google Scholar 

  28. T. Mäkelä, T. Haatainen, J. Ahopelto, Roll-to-roll printed gratings in cellulose acetate web using novel nanoimprinting device. Microelectron. Eng. 88, 2045–2047 (2011)

    Article  Google Scholar 

  29. N. Kooy, K. Mohamed, L.T. Pin, O.S. Guan, A review of roll-to-roll nanoimprint lithography. Nanoscale Res. Lett. 9, 320 (2014)

    Article  ADS  Google Scholar 

  30. H. Schift, Nanoimprint lithography: an old story in modern times? A review. J. Vac. Sci. Technol. B 26(2), 458–480 (2008)

    Article  Google Scholar 

  31. A. Schleunitz, C. Spreu, T. Mäkelä, T. Haatainen, A. Klukowska, H. Schift, Hybrid working stamps for high speed roll-to-roll nanoreplication with molded sol–gel relief on a metal backbone. Microelectron. Eng. 88(8), 2113–2116 (2011)

    Article  Google Scholar 

  32. S. Merino, A. Retolaza, H. Schift, V. Trabadelo, Stamp deformation and its influence on residual layer homogeneity in thermal nanoimprint lithography. Microelectron. Eng. 85, 877–880 (2008)

    Article  Google Scholar 

  33. H. Schift, S. Bellini, J. Gobrecht, Perforated polymer membranes fabricated by nanoimprint lithography. Microelectron. Eng. 83, 873–875 (2006)

    Article  Google Scholar 

  34. H. Schift, A. Kristensen, Nanoimprint lithography—patterning resists using molding, in Chapter (Part A/9) in Handbook of Nanotechnology, 3rd edn. ed. by B. Bhushan, (Springer, Berlin, 2010), pp. 271–312. ISBN: 978-3-642-02524-2, XLVIII, with DVD

  35. H. Schift, Nanoimprint lithography and micro-embossing in LiGA technology: similarities and differences. J. Microsyst. Technol. (2013). doi:10.1007/s00542-013-1915-8

    Google Scholar 

  36. H. Schift, P. Urwyler, P.M. Kristiansen, J. Gobrecht, Nanoimprint lithography process chains for the fabrication of micro- and nanodevices. J. Micro/Nanolithogr. MEMS MOEMS 13(3), 031303 (2014)

    Article  ADS  Google Scholar 

  37. X. Cheng, Building 3D micro- and nano-structures through nanoimprint, Chapter 3, 59–85, in Three-Dimensional Nanoarchitectures: Designing Next-Generation Devices, ed. by W. Zhou, Z.L. Wang (Springer Science & Business Media, New York, 2011), 556 p

  38. M.T. Gale, Replication techniques for diffractive optical elements. Microelectron. Eng. 34, 321–339 (1997)

    Article  Google Scholar 

  39. NaPa library of processes—nanopatterning and applications, ed. H. Schift, published by the NaPa-consortium, 3rd edn. (2014). (12 Dec 2014). http://www.psi.ch/lmn/helmut-schift

  40. H. Schift, C. David, M. Gabriel, J. Gobrecht, L.J. Heyderman, W. Kaiser, S. Köppel, L. Scandella, Nanoreplication in polymers using hot embossing and injection molding. Microelectron. Eng. 53, 171–174 (2000)

    Article  Google Scholar 

  41. Y. Xia, G.M. Whitesides, Soft lithography. Angew. Chem. Int. Ed. 37(5), 550–575 (1998)

    Article  Google Scholar 

  42. B. Michel, A. Bernard, A. Bietsch, E. Delamarche, M. Geissler, D. Juncker, H. Kind, J.-P. Renault, H. Rothuizen, H. Schmid, P. Schmidt-Winkel, R. Stutz, H. Wolf, Printing meets lithography: soft approaches to high-resolution patterning. IBM J. Res. Dev. 45(5), 697–719 (2001)

    Article  Google Scholar 

  43. L.J. Heyderman, H. Schift, C. David, B. Ketterer, M. Auf der Maur, J. Gobrecht, Nanofabrication using hot embossing lithography and electroforming. Microelectron. Eng. 57–58, 375–380 (2001)

    Article  Google Scholar 

  44. L.J. Heyderman, B. Ketterer, D. Bächle, F. Glaus, B. Haas, H. Schift, K. Vogelsang, J. Gobrecht, L. Tiefenauer, O. Dubochet, P. Surbled, T. Hessler, High volume fabrication of customised nanopore membrane chips. Microelectronic Eng. 67–68, 208–213 (2003)

    Article  Google Scholar 

  45. J.M. Stauffer, Y. Oppliger, P. Regnault, L. Baraldi, M.T. Gale, Electron beam writing of continuous resist profiles for optical applications. J. Vac. Soc. Technol. B 10, 2526–2529 (1992)

    Article  Google Scholar 

  46. M.T. Gale, M. Rossi, H. Schuetz, Fabrication of continuous-relief micro-optical elements by direct laser writing in photoresist, laser-assisted fabrication of thin films and microstructures, in Proceedings of the SPIE 2045, (1994), pp. 54–62

  47. E.A. Dobisz, S.L. Brandow, R. Bass, J. Mitterender, Effects of molecular properties on nanolithography in polymethyl methacrylate. J. Vac. Sci. Technol. B 18, 107–111 (2000)

    Article  Google Scholar 

  48. G. Piaszenski, U. Barth, A. Rudzinski, A. Rampe, A. Fuchs, M. Bender, U. Plachetka, 3D structures for UV-NIL template fabrication with greyscale e-beam lithography. Microelectron. Eng. 84, 945–948 (2007)

    Article  Google Scholar 

  49. GenISys beamer electron-beam lithography software, http://genisys-gmbh.com/web/products/beamer.html

  50. H.-B. Sun, S. Kawata, Two-photon photopolymerization and 3D lithographic microfabrication, in NMR - 3D Analysis - Photopolymerization. Advances in Polymer Science, vol 170 (Springer Berlin Heidelberg, 2004), pp. 169–273. ISBN: 978-3-540-20510-4 (Print) 978-3-540-40000-4 (Online)

  51. F. Holzner, P. Paul, M. Despont. L.L. Cheong, J. Hedrick, U. Dürig, A. Knoll, Thermal probe nanolithography: in situ inspection, high-speed, high-resolution, 3D, in Proceedings of the SPIE 8886, (2013), p. 888605

  52. D. Pires, J.L. Hedrick, A. De Silva, J. Frommer, B. Gotsmann, H. Wolf, M. Despont, U. Duerig, A.W. Knoll, Nanoscale three-dimensional patterning of molecular resists by scanning probes. Science 328, 732–735 (2010)

    Article  ADS  Google Scholar 

  53. R. Garcia, A.W. Knoll, E. Riedo, Advanced scanning probe lithography. Nat. Nanotechnol. 9(8), 577–587 (2014)

    Article  ADS  Google Scholar 

  54. C. David, Fabrication of stair-case profiles with high aspect ratios for blazed diffractive optical elements. Microelectron. Eng. 53, 677–680 (2000)

    Article  Google Scholar 

  55. S. Landis, V. Reboud, T. Enot, C. Vizioz, Three dimensional on 300 mm wafer scale nano imprint lithography processes. Microelectron. Eng. 110, 198–203 (2013)

    Article  Google Scholar 

  56. R. Huber, J. Conrad, L. Schmitt, K. Hecker, J. Scheurer, M. Weber, Fabrication of multilevel silicon structures by anisotropic deep silicon etching. Microelectron. Eng. 67–68, 410–416 (2003)

    Article  Google Scholar 

  57. C.D. Popovic, R.A. Sprague, G.A. Neville, Connell, Techniques for monolithic fabrication of microlens arrays. Appl. Opt. 27, 1281–1284 (1988)

    Article  ADS  Google Scholar 

  58. D. Daly, R.F. Stevens, M.C. Hutley, N. Davies, The manufacture of microlenses by melting photoresist. Meas. Sci. Technol. 1, 759–766 (1990)

    Article  ADS  Google Scholar 

  59. H. Mekaru, Influence of residual layer on cross-sectional shape of thermal-reflowed photoresist structures. Microsyst. Technol. (2014). doi:10.1007/s00542-014-2389-z

    Google Scholar 

  60. A. Schleunitz, H. Schift, Fabrication of 3-D nanoimprint stamps with continuous reliefs using dose-modulated electron beam lithography and thermal reflow. J. Micromech. Microeng. 20, 095002 (2010)

    Article  ADS  Google Scholar 

  61. A. Schleunitz, V.A. Guzenko, M. Messerschmidt, H. Atasoy, R. Kirchner, H. Schift, Novel 3D micro- and nanofabrication method using thermally activated selective topography equilibration (TASTE) of polymers. Nano Converg. 1, 7 (2014)

    Article  Google Scholar 

  62. A. Schleunitz, C. Spreu, M. Vogler, H. Atasoy, H. Schift, Combining nanoimprint lithography and a molecular weight selective thermal reflow for the generation of mixed 3-D structures. J. Vac. Sci. Technol. B 29(6), 06FC01 (2011)

    Google Scholar 

  63. R. Kirchner, H. Schift, Mobility based 3D simulation of selective, viscoelastic polymer reflow using surface evolver. J. Vac. Sci. Technol. B 32, 06F701 (2014)

    Article  Google Scholar 

  64. R. Kirchner, A. Schleunitz, H. Schift, Energy-based thermal reflow simulation for 3D polymer shape prediction using the surface evolver. J. Micromech. Microeng. 24(5), 055010 (2014)

    Article  ADS  Google Scholar 

  65. M. Tormen, A. Carpentiero, E. Ferrari, D. Cojoc, E. Di Fabrizio, Novel fabrication method for three-dimensional nanostructuring: an application to micro-optics. Nanotechnology 18, 385301 (2007)

    Article  ADS  Google Scholar 

  66. H. Schift, C. Spreu, A. Schleunitz, J.J. Lee, Shape control of polymer reflow structures fabricated by nanoimprint lithography. Microelectron. Eng. 88, 87–92 (2011)

    Article  Google Scholar 

  67. M.T. Gale, L.G. Baraldi, R.E. Kunz, Replicated microstructures for integrated optics, in Proceedings of the SPIE 2213, (1994), pp. 2–10

  68. L.G. Baraldi, Heissprägen in Polymeren für die Herstellung integriert-optischer Systemkomponenten, PhD thesis, Diss. ETH. Nr. 10762 (1994)

  69. Y. Hirai, Y. Onishi, T. Tanabe, M. Shibata, T. Iwasaki, Y. Iriye, Pressure and resist thickness dependency of resist time evolutions profiles in nanoimprint lithography. Microelectron. Eng. 85, 842–845 (2008)

    Article  Google Scholar 

  70. H.D. Rowland, A.C. Sun, P.R. Schunk, W.P. King, Impact of polymer film thickness and cavity size on polymer flow during embossing: toward process design rules for nanoimprint lithography. J. Micromech. Microeng. 15, 2414–2425 (2005)

    Article  ADS  Google Scholar 

  71. T. Leveder, S. Landis, L. Davoust, N. Chaix, Flow property measurements for nanoimprint simulation. Microelectron. Eng. 84, 928–931 (2007)

    Article  Google Scholar 

  72. T. Leveder, S. Landis, L. Davoust, N. Chaix, Optimization of demolding temperature for throughput improvement of nanoimprint lithography. Microelectron. Eng. 84, 953–957 (2007)

    Article  Google Scholar 

  73. H. Teyssèdre, P. Gilormini, Extension of the natural element method to surface tension and wettability for the simulation of polymer flows at the micro and nano scales. J. Non-Newton. Fluid Mech. 200, 9–16 (2013)

    Article  Google Scholar 

  74. N. Koo, U. Plachetka, M. Otto, J. Bolten, J.-H. Jeong, E.-S. Lee, H. Kurz, The fabrication of a flexible mold for high resolution soft ultraviolet nanoimprint lithography. Nanotechnology 19, 225304 (2008)

    Article  ADS  Google Scholar 

  75. N. Koo, M. Otto, J.W. Kim, J.-H. Jeong, H. Kurz, Press and release imprint: control of the flexible mold deformation and the local variation of residual layer thickness in soft UV-NIL. Microelectron. Eng. 88(6), 1033–1036 (2011)

    Article  Google Scholar 

  76. H. Schift, S. Bellini, J. Gobrecht, F. Reuther, M. Kubenz, M.B. Mikkelsen, K. Vogelsang, Fast heating and cooling in nanoimprint using a spring-loaded adapter in a preheated press. Microelectron. Eng. 84, 932–936 (2007)

    Article  Google Scholar 

  77. H. Schift, G. Kim, J.J. Lee, J. Gobrecht, 3D visualization of mold filling stages in thermal nanoimprint by white light interferometry and atomic force microscopy. Nanotechnology 20, 355301 (2009)

    Article  Google Scholar 

  78. H. Schift, A. D’Amore, C. David, M. Gabriel, J. Gobrecht, W. Kaiser, D. Simoneta, Quantitative analysis of the molding of nanostructures. J. Vac. Sci. Technol. B 18(6), 3564–3568 (2000)

    Article  Google Scholar 

  79. H. Schift, M. Altana, A. Schleunitz, Sidewall-angle dependent mold filling of three-dimensional microcavities in thermal nanoimprint lithography. J. Vac. Sci. Technol. B 30(6), 06FB09 (2012)

    Article  Google Scholar 

  80. H. Schift, S. Park, J. Gobrecht, Nano-imprint—molding resists for lithography. J. Photopolym. Sci. Technol. (Jpn.) 16(3), 435–438 (2003)

    Article  Google Scholar 

  81. V. Sirotkin, A. Svintsov, H. Schift, S. Zaitsev, Coarse-grain method for modeling of stamp and substrate deformation in nanoimprint. Microelectron. Eng. 84, 868–871 (2007)

    Article  Google Scholar 

  82. M. Heckele, W.K. Schomburg, Review on micro molding of thermoplastic polymers. J. Micromech. Microeng. 14, R1 (2004)

    Article  Google Scholar 

  83. M. Worgull, Hot Embossing, 1st edn. (William Andrew, Oxford, 2009)

    Google Scholar 

  84. S. Klammt, H. Müller, A. Neyer, Redirection of sunlight by microstructured components—simulation, fabrication and experimental results. Sol. Energy 86, 1660–1666 (2012)

    Article  ADS  Google Scholar 

  85. H. Schift, L.J. Heyderman, M. Auf der Maur, J. Gobrecht, Pattern formation in hot embossing of thin polymer films. Nanotechnology 12, 173–177 (2001)

    Article  ADS  Google Scholar 

  86. N. Chaix, C. Gourgon, S. Landis, C. Perret, M. Fink, F. Reuther, D. Mecerreyes, Influence of the molecular weight and imprint conditions on the formation of capillary bridges in nanoimprint lithography. Nanotechnology 17, 4082–4087 (2006)

    Article  ADS  Google Scholar 

  87. H.-C. Scheer, A. Mayer, K. Dhima, S. Wang, C. Steinberg, Challenges with high aspect ratio nanoimprint. Microsyst. Technol. 20(10–11), 1891–1898 (2014)

    Article  Google Scholar 

  88. Y. Hirai, UV-nanoimprint lithography (NIL) process simulation. Photopolym. Sci. Technol. (Jpn.) 23, 25–32 (2010)

    Article  Google Scholar 

  89. H. Schulz, M. Wissen, H.-C. Scheer, Local mass transport and its effect on global pattern replication during hot embossing. Microelectron. Eng. 67–68, 657–663 (2003)

    Article  Google Scholar 

  90. R.W. Jaszewski, H. Schift, B. Schnyder, A. Schneuwly, P. Gröning, The deposition on anti-adhesive ultra-thin teflon-like films and their interaction with polymers during hot embossing. Appl. Surf. Sci. 143, 301–308 (1999)

    Article  ADS  Google Scholar 

  91. S. Park, H. Schift, C. Padeste, J. Gobrecht, Nanostructuring of anti-adhesive layer by hot embossing lithography. Microelectron. Eng. 61–62, 423–428 (2003)

    Google Scholar 

  92. H. Schift, S. Saxer, S. Park, C. Padeste, U. Pieles, J. Gobrecht, Controlled co-evaporation of silanes for nanoimprint stamps. Nanotechnology 16, S171–S175 (2005)

    Article  ADS  Google Scholar 

  93. V. Trabadelo, H. Schift, S. Merino, S. Bellini, J. Gobrecht, Measurement of demolding forces in full wafer thermal nanoimprint. Microelectron. Eng. 85, 907–909 (2008)

    Article  Google Scholar 

  94. S. Merino, H. Schift, A. Retolaza, T. Haatainen, The use of automatic demolding in nanoimprint lithography processes. Microelectron. Eng. 84, 958–962 (2007). doi:10.1016/j.mee.2007.01.023

    Article  Google Scholar 

  95. Y. Guo, G. Liu, Y. Xiong, Y. Tian, Study of the demolding process—implications for thermal stress, adhesion and friction control. J. Micromech. Microeng. 17, 9–19 (2007)

    Article  ADS  Google Scholar 

  96. H. Takagi, M. Takahashi, R. Maeda, Y. Onishi, Y. Iriye, T. Iwasaki, Y. Hirai, Experimental and numerical analyses on recovery of polymer deformation after demolding in the hot embossing process. J. Vac. Sci. Technol. B 26, 2399–2404 (2008)

    Article  Google Scholar 

  97. S. Park, Z. Song, L. Brumfield, A. Amirsadeghi, J. Lee, Demolding temperature in thermal nanoimprint lithography. Appl. Phys. A 97(2), 395–402 (2009)

    Article  ADS  Google Scholar 

  98. H. Kawata, K. Kubo, Y. Watanabe, J. Sakamoto, M. Yasuda, Y. Hirai, Effects of mold side wall profile on demolding characteristics. Jpn. J. Appl. Phys. 49(6S), 06GL15 (2010)

    Google Scholar 

  99. M.E. Dirckx, D.E. Hardt, Analysis and characterization of demolding of hot embossed polymer microstructures. J. Micromech. Microeng. 21, 085024 (2011)

    Article  ADS  Google Scholar 

  100. K. Kuwabara, A. Miyauchi, High-aspect-ratio nanopillar structures fabricated by nanoimprinting with elongation phenomenon. J. Vac. Sci. Technol. B 26, 582–584 (2008)

    Article  Google Scholar 

  101. S. Möllenbeck, N. Bogdanski, M. Wissen, H.-C. Scheer, J. Zajadacz, K. Zimmer, Investigation of the separation of 3D-structures with undercuts. Microelectron. Eng. 84, 1007–1010 (2007)

    Article  Google Scholar 

  102. S. Möllenbeck, N. Bogdanski, A. Mayer, H.-C. Scheer, J. Zajadacz, K. Zimmer, Moulding of arrowhead structures. Microelectron. Eng. 86, 608–610 (2009)

    Article  Google Scholar 

  103. G. Shao, J. Wu, Z. Cai, W. Wang, Fabrication of elastomeric high-aspect-ratio microstructures using polydimethylsiloxane (PDMS) double casting technique. Sens. Actuators A 178, 230–236 (2012)

    Article  Google Scholar 

  104. P.K. Sahoo, K. Vogelsang, H. Schift, H.H. Solak, Surface plasmon resonance in near field coupled gold cylinder array fabricated by EUV-interference lithography and hot embossing. Appl. Surf. Sci. 256(2), 431–434 (2009)

    Article  ADS  Google Scholar 

  105. A. Finn, B. Lu, R. Kirchner, X. Thrun, K. Richter, W.-J. Fischer, High aspect ratio pattern collapse of polymeric UV-nano-imprint molds due to cleaning. Microelectron. Eng. 110, 112–118 (2013)

    Article  Google Scholar 

  106. M. Miller, G. Doyle, N. Stacey, F. Xu, S.V. Sreenivasan, M. Watts, D.L. LaBrake, Fabrication of nanometer sized features on non-flat substrates using a nano-imprint lithography process, in Proceedings of the SPIE 5751, (2005), pp. 994–1002

  107. D.J. Resnick, G. Schmid, M. Miller, G. Doyle, C. Jones, D. LaBrake, Step and flash imprint lithography template fabrication for emerging market applications, in Proceedings of the SPIE, vol. 6607 (2007), p. 66070T

  108. T. Ogawa, S. Takei, B.M. Jacobsson, R. Deschner, W. Bell, M.W. Lin, Y. Hagiwara, M. Hanabata, C.G. Willson, Planarizing material for reverse-tone step and flash imprint lithography, in Proceedings of the SPIE 7637, (2010), p. 763708

  109. N. Chaix, C. Gourgon, C. Perret, S. Landis, T. Leveder, Nanoimprint lithography processes on 200 mm Si wafer for optical application: residual thickness etching anisotropy. J. Vac. Sci. Technol. B 25, 2346–2351 (2007)

    Article  Google Scholar 

  110. C.W. Jurgensen, E.S.G. Shaqfeh, Factors controlling the etching rate and etching profile in the O2 reactive ion etching pattern transfer step in multilevel lithography. Polym. Eng. Sci. 29(13), 878–881 (1989)

    Article  Google Scholar 

  111. Y. Tsuji, M. Yanagisawa, H. Yoshinaga, K. Hiratsuka, Study of reactive ion etching for reverse tone nanoimprint process. J. Phys. Conf. Ser. 191, 012010 (2009)

    Article  ADS  Google Scholar 

  112. D.L. Olynick, J. Alexander Liddle, B.D. Harteneck, S. Cabrini, I.W. Rangelow, Nanoscale pattern transfer for templates, NEMs, and nano-optics, in Proceedings of the SPIE 6462, vol. 64620J (2007)

  113. S.Y. Chou, P.R. Krauss, Imprint lithography with sub-10 nm feature size and high throughput. Microelectron. Eng. 35, 237–240 (1997)

    Article  Google Scholar 

  114. H. Schift, R.W. Jaszewski, C. David, J. Gobrecht, Nanostructuring of polymers and fabrication of interdigitated electrodes by hot embossing lithography. Microelectron. Eng. 46, 121–124 (1999)

    Article  Google Scholar 

  115. D. Makarov, L. Baraban, I.L. Guhr, J. Boneberg, H. Schift, J. Gobrecht, G. Schatz, P. Leiderer, M. Albrecht, Arrays of magnetic nanoindentations with perpendicular anisotropy. Appl. Phys. Lett. 90(9), 093117 (2007)

    Article  ADS  Google Scholar 

  116. P. Carlberg, M. Graczyk, E.-L. Sarwe, I. Maximov, M. Beck, L. Montelius, Lift-off process for nanoimprint lithography. Microelectron. Eng. 67–68, 203–207 (2003)

    Article  Google Scholar 

  117. C.-L. Wu, C.-K. Sung, P.-H. Yao, C.-H. Chen, Sub-15 nm linewidth gratings using roll-to-roll nanoimprinting and plasma trimming to fabricate flexible wire-grid polarizers with low colour shift. Nanotechnology 24, 265301 (2013)

    Article  ADS  Google Scholar 

  118. T. Borzenko, M. Tormen, G. Schmidt, L.W. Molenkamp, H. Janssen, A polymer bonding process for nanolithography. Appl. Phys. Lett. 79(14), 2246–2248 (2001)

    Article  ADS  Google Scholar 

  119. X.D. Huang, L.-R. Bao, X. Cheng, L.J. Guo, S.W. Pang, A.F. Yee, Reversal imprinting by transferring polymer from mold to substrate. J. Vac. Sci. Technol. B 20, 2872–2876 (2002)

    Article  Google Scholar 

  120. N. Kehagias, V. Reboud, G. Chansin, M. Zelsmann, C. Jeppesen, C. Schuster, M. Kubenz, F. Reuther, G. Gruetzner, C.M. Sotomayor Torres, Reverse-contact UV nanoimprint lithography for multilayered structure fabrication. Nanotechnology 18, 175303 (2007)

    Article  ADS  Google Scholar 

  121. C. Moormann, N. Koo, J. Kim, U. Plachetka, F. Schlachter, C. Nowak, Liquid transfer nanoimprint replication on non-flat surfaces for optical applications. Microelectron. Eng. 100, 28–32 (2012)

    Article  Google Scholar 

  122. T. Senn, Ch. Waberski, J. Wolf, J.P. Esquivel, N. Sabaté, B. Löchel, 3D structuring of polymer parts using thermoforming processes. Microelectron. Eng. 88, 11–16 (2011)

    Article  Google Scholar 

  123. S.Y. Chou, M.S. Wei, P.R. Krauss, P.B. Fischer, Single-domain magnetic pillar array of 35 nm diameter and 65 Gbits/in. 2 density for ultrahigh density quantum magnetic storage. J. Appl. Phys. 76(10), 6673–6675 (1994)

    Article  ADS  Google Scholar 

  124. J. Lille, K. Patel, R. Ruiz, T.-W. Wu, H. Gao, L. Wan, G. Zeltzer, E. Dobisz, T.R. Albrecht, Imprint lithography template technology for bit patterned media (BPM), in Proceedings of the SPIE 8166, Photomask Technology, (2011), p. 816626

  125. L. Wan, R. Ruiz, H. Gao, K.C. Patel, J. Lille, G. Zeltzer, E.A. Dobisz, A. Bogdanov, P.F. Nealey, T.R. Albrecht, Fabrication of templates with rectangular bits on circular tracks by combining block copolymer directed self-assembly and nanoimprint lithography. J. Micro/Nanolithogr. MEMS MOEMS 11(3), 031405 (2012)

    Article  ADS  Google Scholar 

  126. R.A. Griffiths, A. Williams, C. Oakland, J. Roberts, A. Vijayaraghavan, T. Thomson, Directed self-assembly of block copolymers for use in bit patterned media fabrication. J. Phys. D Appl. Phys. 46, 503001 (2013)

    Article  Google Scholar 

  127. S.-W. Ahn, K.-D. Lee, J.-S. Kim, S.H. Kim, J.-D. Park, S.-H. Lee, P.-W. Yoon, Fabrication of a 50 nm half-pitch wire grid polarizer using nanoimprint lithography. Nanotechnology 16, 1874–1877 (2005)

    Article  ADS  Google Scholar 

  128. F. Meng, G. Luo, I. Maximov, L. Montelius, J. Chu, H. Xu, Fabrication and characterization of bilayer metal wire-grid polarizer using nanoimprint lithography on flexible plastic substrate. Microelectron. Eng. 88, 3108–3112 (2011)

    Article  Google Scholar 

  129. N.C. Lindquist, P. Nagpa, K.M. McPeak, D.J. Norris, S.-H. Oh, Engineering metallic nanostructures for plasmonics and nanophotonics. Rep. Prog. Phys. 75, 036501 (2012)

    Article  ADS  Google Scholar 

  130. L. Wang, H. Schift, J. Gobrecht, Y. Ekinci, P.M. Kristiansen, H.H. Solak, K. Jefimovs, High-throughput fabrication of compact and flexible bilayer nanowire grid polarizers for deep-ultraviolet to infrared range. J. Vac. Sci. Technol. B 32, 031206 (2014)

    Article  Google Scholar 

  131. R. Ji, M. Hornung, M.A. Verschuuren, R. van de Laar, J. van Eekelen, U. Plachetka, M. Moeller, C. Moormann, UV enhanced substrate conformal imprint lithography (UV-SCIL) technique for photonic crystals patterning in LED manufacturing. Microelectron. Eng. 87(5–8), 963–967 (2010)

    Article  Google Scholar 

  132. M.A. Verschuuren, P. Gerlach, H.A. van Sprang, A. Polman, Improved performance of polarization-stable VCSELs by monolithic sub-wavelength gratings produced by soft nano-imprint lithography. Nanotechnology 22, 505201 (2011)

    Article  Google Scholar 

  133. Y.-C. Lee, S.-C. Yeh, Y.-Y. Chou, P.-J. Tsai, J.-W. Pan, H.-M. Chou, C.-H. Hou, Y.-Y. Chang, M.-S. Chu, C.-H. Wu, C.-H. Ho, High-efficiency InGaN-based LEDs grown on patterned sapphire substrates using nanoimprinting technology. Microelectron. Eng. 105, 86–90 (2013)

    Article  Google Scholar 

  134. L. Cui, J.-C. Han, G.-G. Wang, H.-Y. Zhang, R. Sun, L.-H. Li, Large-scale fabrication of nanopatterned sapphire substrates by annealing of patterned Al thin films by soft UV-nanoimprint lithography. Nanoscale Res. Lett. 8, 472–477 (2013)

    Article  ADS  Google Scholar 

  135. H. Schift, S. Park, C.-G. Choi, C.-S. Kee, S.-P. Han, K.-B. Yoon, J. Gobrecht, Fabrication process for polymer photonic crystals using nanoimprint lithography. Nanotechnology 16, S261–S265 (2005)

    Article  ADS  Google Scholar 

  136. M.D. Stewart, J.T. Wetzel, G.M. Schmid, F. Palmieri, E. Thompson, E.K. Kim, D. Wang, K. Sotodeh, K. Jen, S.C. Johnson, J. Hao, M.D. Dickey, Y. Nishimura, R.M. Laine, D.J. Resnick, C.G. Willson, Direct imprinting of dielectric materials for dual damascene processing, in Proceedings of the SPIE 5751, (2005), pp. 210–218

  137. H.-J. Kim, M. Almanza-Workman, B. Garcia, O. Kwon, F. Jeffrey, S. Braymen, J. Hauschildt, K. Junge, D. Larson, D. Stieler, A. Chaiken, B. Cobene, R. Elder, W. Jackson, M. Jam, A. Jeans, H. Luo, P. Mei, C. Perlov, C. Taussig, Roll-to-roll manufacturing of electronics on flexible substrates using self-aligned imprint lithography (SAIL). J. Soc. Inf. Disp. 17(11), 963–970 (2009)

    Article  Google Scholar 

  138. J.M. Fedeli, L. Di Cioccio, D. Marris-Morini, L. Vivien, R. Orobtchouk, P. Rojo-Romeo, C. Seassal, F. Mandorlo, Development of silicon photonics devices using microelectronic tools for the integration on top of a CMOS wafer. Adv. Opt. Technol. 2008, 412518 (2008)

    Article  Google Scholar 

  139. I. Bergmair, B. Dastmalchi, M. Bergmair, A. Saeed, W. Hilber, G. Hesser, C. Helgert, E. Pshenay-Severin, T. Pertsch, E.B. Kley, U. Hübner, N.H. Shen, R. Penciu, M. Kafesaki, C.M. Soukoulis, K. Hingerl, M. Muehlberger, R. Schoeftner, Single and multilayer metamaterials fabricated by nanoimprint lithography. Nanotechnology 22, 325301 (2011)

    Article  Google Scholar 

  140. X. Wang, A. Albrecht, H.H. Mai, C. Woidt, T. Meinl, M. Hornung, M. Bartels, H. Hillmer, High resolution 3D nanoimprint technology: template fabrication, application in Fabry–Pérot-filter-array-based optical nanospectrometers. Microelectron. Eng. 110, 44–51 (2013)

    Article  Google Scholar 

  141. L. Gao, K. Shigeta, A. Vazquez-Guardado, C.J. Progler, G.R. Bogart, J.A. Rogers, D. Chanda, Nanoimprinting techniques for large-area three-dimensional negative index metamaterials with operation in the visible and telecom bands. ACS Nano 8(6), 5535–5542 (2014)

    Article  Google Scholar 

  142. R. Hensel, A. Finn, R. Helbig, H.-G. Braun, C. Neinhuis, W.-J. Fischer, C. Werner, Biologically inspired omniphobic surfaces by reverse imprint lithography. Adv. Mater. 26(13), 2029–2033 (2014)

    Article  Google Scholar 

  143. W. Wu, M. Hu, F.S. Ou, Z.Y. Li, R.S. Williams, Cones fabricated by 3D nanoimprint lithography for highly sensitive surface enhanced Raman spectroscopy. Nanotechnology 21, 255502 (2010)

    Article  ADS  Google Scholar 

  144. N. Gadegaard, K. Seunarine, D.J.A. Smith, D.O. Meredith, C.D.W. Wilkinson, M.O. Riehle, A hybrid three-dimensional nanofabrication method for producing vascular tissue engineering scaffold. Jpn. J. Appl. Phys. 47, 7415–7419 (2008)

    Article  ADS  Google Scholar 

  145. I. Fernandez-Cuesta, A.L. Palmarelli, X. Liang, J. Zhang, S. Dhuey, D. Olynick, S. Cabrini, Fabrication of fluidic devices with 30 nm nanochannels by direct imprinting. J. Vac. Sci. Technol. B 29(6), 06F801-1/7 (2011)

    Article  Google Scholar 

  146. A. Schleunitz, M. Vogler, I. Fernandez-Cuesta, H. Schift, G. Gruetzner, Innovative and tailor-made resist and working stamp materials for advancing NIL-based production technology. J. Photopolym. Sci. Technol. (Jpn.) 26(1), 119–124 (2013)

    Article  Google Scholar 

  147. R. Kirchner, V.A. Guzenko, M. Rohn, E. Sonntag, M. Muehlberger, I. Bergmair, H. Schift, Bio-inspired 3D funnel structures made by grayscale electron-beam patterning and selective topography equilibration. Microelectron. Eng. 141, 107–111 (2015)

    Article  Google Scholar 

  148. P. Urwyler, H. Schift, J. Gobrecht, O. Häfeli, M. Altana, F. Battiston, B. Müller, Surface patterned polymer micro-cantilever arrays for sensing. Sens. Actuators A 172(1), 2–8 (2011)

    Article  Google Scholar 

  149. J. Söchtig, H. Schift, P.D. Patterson, S. Westenhöfer, Replicated diffractive optical lens components for laser-diode to fiber coupling in optical bench arrangements, in Proceedings of the SPIE 3226, (1997), pp. 44–55

  150. T. Mäkelä, T. Haatainen, Roll-to-roll pilot nanoimprinting process for backlight devices. Microelectron. Eng. 97, 89–91 (2012)

    Article  Google Scholar 

  151. T. Mäkelä, T. Haatainen, J. Ahopelto, Pilot production of photonic devices by roll-to-roll nanoimprinting. J. Photopolym. Sci. Technol. 25(2), 227–228 (2012)

    Article  Google Scholar 

  152. C.M. Sotomayor-Torres, J. Ahopelto, Position Paper on Nanophotonics and Nanophononics, NanoICT. Phantoms Foundation, Madrid, Spain. http://www.phantomsnet.net/

  153. Kindle Paperwhite, 6” High Resolution Display, Kindle Paperwhite—Released 2012, http://www.amazon.com/Kindle-Paperwhite-Touch-light/dp/B007OZNZG0. Accessed 12 Dec 2014

  154. J. Hruska, ExtremeTech, Electronics, Amazon sheds new light on Kindle Paperwhite display, 1 Oct 2012, http://www.extremetech.com/electronics/137158-amazon-sheds-new-light-on-kindle-paperwhite-display. Accessed 12 Dec 2014

  155. The New York Times, Business Day Technology, Published: December 26, 2012, Light reading: how the Kindle Paperwhite works, http://www.nytimes.com/interactive/2012/12/26/technology/light-reading.html. Accessed 12 Dec 2014

  156. K. Rinko, Ultrathin Lighting Element, International Publication Number WO2005/107363 A2, priority date 30 April 2004

  157. K. Rinko, Light Outcoupling Structure for a Lighting Device, International Publication Number WO 2008/053078 A1, 2006, priority date 31 October

  158. T. Buß, J. Teisseire, S. Mazoyer, C.L.C. Smith, M.B. Mikkelsen, A. Kristensen, E. Søndergård, Controlled angular redirection of light via nanoimprinted disordered gratings. Appl. Opt. 52(4), 709–716 (2013)

    Article  ADS  Google Scholar 

  159. S. Klammt, A. Neyer, H.F.O. Mueller, Microoptics for efficient redirection of sunlight. Appl. Opt. 51, 2051–2056 (2012)

    Article  ADS  Google Scholar 

  160. H. Schift, C. Spreu, M. Saidani, M. Bednarzik, J. Gobrecht, A. Klukowska, F. Reuther, G. Gruetzner, H.H. Solak, Transparent hybrid polymer stamp copies with sub-50 nm resolution for thermal and UV-nanoimprint lithography. J. Vac. Sci. Technol. B 27(6), 2846–2849 (2009)

    Article  Google Scholar 

  161. A. Schleunitz, C. Spreu, T. Haatainen, A. Klukowska, H. Schift, Fabrication of mesas with micro- and nanopatterned surface relief used as working stamps for step & stamp imprint lithography. J. Vac. Sci. Technol. B 28(6), C6M37–C6M40 (2010)

    Google Scholar 

  162. T. Haatainen, T. Mäkelä, A. Schleunitz, G. Grenci, M. Tormen, Integration of rotated 3-D structures into pre-patterned PMMA substrate using step & stamp nanoimprint lithography. Microelectron. Eng. 98, 180–183 (2012)

    Article  Google Scholar 

  163. G. Grenci, E. Sovernigo, A.Z. Khokhar, N. Gadegaard, M. Prasciolu, M. Tormen, Microfabrication of sharp blazed gratings by a two-step height amplification process based on soft and deep X-ray lithography. Sens. Actuators A 205, 111–118 (2014)

    Article  Google Scholar 

  164. M. Li, L. Chen, S.Y. Chou, Direct three-dimensional patterning using nanoimprint lithography. Appl. Phys. Lett. 78(21), 3322–3324 (2001)

    Article  ADS  Google Scholar 

  165. P. Batude, M. Vinet, B. Previtali, C. Tabone, C. Xu, J. Mazurier, O. Weber, F. Andrieu, L. Tosti, L. Brevard, B. Sklenard, P. Coudrain, S. Bobba, H. Ben Jamaa, P-E. Gaillardon, A. Pouydebasque, O. Thomas, C. Le Royer, J.-M. Hartmann, L. Sanchez, L. Baud, V. Carron, L. Clavelier, G. De Micheli, S. Deleonibus, O. Faynot, T. Poiroux, Advances, challenges and opportunities in 3D CMOS sequential integration, in IEEE Electron Devices Meeting (IEDM) (2011)

  166. Z. Liu, D.G. Bucknall, M.G. Allen, Inclined nanoimprinting lithography for 3D nanopatterning. Nanotechnology 22, 225302 (2011)

    Article  ADS  Google Scholar 

Download references

Acknowledgments

Taking part in the development of NIL over 20 years was a great opportunity. For a Swiss citizen, the view of the panorama of the Swiss Alps makes one believe that there is nothing else then 3D. Furthermore, there are many dishes, where viscous materials have either to be prepared and molded, even in 3D. This is melting of cheese for cheese fondue and raclette, casting of chocolate, baking of waffles [39]. The 20 years of NIL coincide with the development of NIL in the PSI—including LiGA and other “Gutenberg toolbox processes”. Already before, I was involved in replication techniques, starting with the purchase of a hot embossing machine in the Institute of Microtechnology Mainz (IMM). Many thanks are due to all those researchers, engineers, technicians, and students, who contributed to the continuous development of the NIL technology in the Laboratory for Micro- and Nano-technology (LMN) at PSI, and especially J. Gobrecht, K. Vogelsang, S. Park, C. Spreu, M. Altana, S. Bellini and R. Kirchner. In particular, I want to thank A. Schleunitz, who developed TASTE from first proofs in 2009 into a reproducible 3D technique for backlight applications. This review was done within the framework of the INKA (Institute of Polymer Nanotechnology) of the University of Applied Sciences and Arts Nordwestschweiz (FHNW) and the PSI, which is a good example of collaboration between institutes with different foci but a joint interest in polymers research, applications and education.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Helmut Schift.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Schift, H. Nanoimprint lithography: 2D or not 2D? A review. Appl. Phys. A 121, 415–435 (2015). https://doi.org/10.1007/s00339-015-9106-3

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00339-015-9106-3

Keywords

Navigation