Skip to main content

Advertisement

Log in

An efficient majority-based compressor for approximate computing in the nano era

  • Technical Paper
  • Published:
Microsystem Technologies Aims and scope Submit manuscript

Abstract

Approximate computing is an effective paradigm for energy-efficient hardware design in nanoscale. In this study, an efficient 4:2 compressor for approximate computing in the nano era is proposed. The proposed design includes only two majority gates instead of AND-OR and XOR logics, which leads to circuit efficiency and lower energy consumption. Moreover, the majority operator is the natural logic primitive for several beyond-CMOS technologies such as quantum-dot cellular automata (QCA). The proposed approach is designed using FinFET as a current industrial technology and QCA as a promising emerging nanodevice. FinFETs show lower short channel effects and provide excellent electrostatic characteristics than bulk CMOS for sub-32 nm technologies. Furthermore, QCA will provide extremely high-density and energy-efficient digital circuits for the future VLSI design. In order to evaluate the performance of the proposed approach and make comparisons with the previous designs, extensive simulations are performed using HSPICE, QCADesigner and QCAPro tools. In addition, the proposed compressor is utilized efficiently in image processing applications and the critical metrics in measuring the quality of images are evaluated using MATLAB. The results indicate significant improvements in terms of different performance and accuracy metrics in comparison with the most efficient designs previously presented in the literature.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14

Similar content being viewed by others

References

  • Abutaleb MM (2017) Robust and efficient quantum-dot cellular automata synchronous counters. Microelectron J 61:6–14

    Article  Google Scholar 

  • Akbari O, Kamal M, Afzali-Kusha A, Pedram M (2017) Dual-quality 4: 2 compressors for utilizing in dynamic accuracy configurable multipliers. IEEE Trans Very Large Scale Integr VLSI Syst 25(4):1352–1361

    Article  Google Scholar 

  • Amaru L, Gaillardon PE, Chattopadhyay A, De Micheli G (2016) A sound and complete axiomatization of majority-n logic. IEEE Trans Comput 65(9):2889–2895

    Article  MathSciNet  MATH  Google Scholar 

  • Bagherian Khosroshahy M, Moaiyeri MH, Angizi S, Bagherzadeh N, Navi K (2017) Quantum-dot cellular automata circuits with reduced external fixed inputs. Microprocess Microsyst 50:154–163

    Article  Google Scholar 

  • Baran D, Aktan M, Oklobdzija VG (2010) Energy efficient implementation of parallel CMOS multipliers with improved compressors. In: ACM/IEEE international symposium on low-power electronics and design (ISLPED), pp 147–152

  • BSIM-CMG 110.0.0 (2015) Common multi-gate FET model

  • Chang H, Gu J, Zhang M (2004) Ultra low-voltage low-power CMOS 4-2 and 5-2 compressors for fast arithmetic circuits. IEEE Trans Circuits Syst I Regul Pap 51(10):1985–1997

    Article  Google Scholar 

  • Gupta SK, Roy K (2015) Low power robust FinFET-based SRAM design in scaled technologies. In: Circuit design for reliability. Springer, pp 223–253

  • Ibrahim W, Beiu V, Sulieman MH (2008) On the reliability of majority gates full adders. IEEE Trans Nanotechnol 7(1):56–67

    Article  Google Scholar 

  • Jha KN, Chen D (eds) (2010) Nanoelectronic circuit design. Springer Science & Business Media

  • Liang J, Han J, Lombardi F (2013) New metrics for the reliability of approximate and probabilistic adders. IEEE Trans Comput 62(9):1760–1771

    Article  MathSciNet  MATH  Google Scholar 

  • Liu W, Swartzlander EE Jr, O’Neill M (2013) Design of semiconductor QCA systems. Artech House

  • Liu W, Lu L, O’Neill M, Swartzlander EE (2014) A first step toward cost functions for quantum-dot cellular automata designs. IEEE Trans Nanotechnol 13(3):476–487

    Article  Google Scholar 

  • Moghaddam M, Moaiyeri MH, Eshghi M (2017) Design and evaluation of an efficient Schmitt trigger-based hardened latch in CNTFET technology. IEEE Trans Device Mater Reliab 17(1):267–277

    Article  Google Scholar 

  • Momeni A, Han J, Montuschi P, Lombardi F (2015) Design and analysis of approximate compressors for multiplication. IEEE Trans Comput 64(4):984–994

    Article  MathSciNet  MATH  Google Scholar 

  • Pishvaie A, Jaberipur G, Jahanian A (2014) High-performance CMOS (4:2) compressors. Int J Electron 101(11):1511–1525

    Article  Google Scholar 

  • Pudi V, Sridharan K, Lombardi F (2017) Majority logic formulations for parallel adder designs at reduced delay and circuit complexity. In: IEEE transactions on computers

  • Rabaey J (2009) Low power design essentials. Springer Science & Business Media

  • Rahimpour Gadim M, Jafari Navimipour N (2017) A new three-level fault tolerance arithmetic and logic unit based on quantum dot cellular automata. Microsyst Technol. doi:10.1007/s00542-017-3502-x

    Google Scholar 

  • Rezaei Khezeli M, Moaiyeri MH, Jalali A (2017) Analysis of crosstalk effects for multiwalled carbon nanotube bundle interconnects in ternary logic and comparison with Cu interconnects. IEEE Trans Nanotechnol 16(1):107–117

    Google Scholar 

  • Sen B, Chowdhury MR, Mukherjee R, Goswami M, Sikdar BK (2017) Reliability-aware design for programmable QCA logic with scalable clocking circuit. J Comput Electron 16(2):473–485

    Article  Google Scholar 

  • Sheikhfaal S, Angizi S, Sarmadi S, Moaiyeri MH, Sayedsalehi S (2015) Designing efficient QCA logical circuits with power dissipation analysis. Microelectron J 46:462–471

    Article  Google Scholar 

  • Srivastava S, Asthana A, Bhanja S, Sarkar S (2011) QCAPro-an error-power estimation tool for QCA circuit design. In: 2011 IEEE international symposium of circuits and systems (ISCAS), pp 2377–2380

  • Swahn B, Hassoun S (2006) Gate sizing: FinFETs vs 32 nm bulk MOSFETs. In: IEEE design automation conference, 43rd ACM/IEEE, pp 528–531

  • Taherkhani E, Moaiyeri MH, Angizi S (2017) Design of an ultra-efficient reversible full adder-subtractor in quantum-dot cellular automata. Opt Int J Light Electron Opt 142:557–563

    Article  Google Scholar 

  • Walus K, Vetteth A, Jullien G, Dimitrov V (2003) RAM design using quantum-dot cellular automata. In: Nanotechnology conference, pp 160–163

  • Walus K, Dysart TJ, Jullien GA, Budiman RA (2004) QCADesigner: a rapid design and simulation tool for quantum-dot cellular automata. IEEE Trans Nanotechnol 3(1):26–31

    Article  Google Scholar 

  • Wang Z, Bovik AC, Sheikh HR, Simoncelli EP (2004) Image quality assessment: from error visibility to structural similarity. IEEE Trans Image Process 13(4):600–612

    Article  Google Scholar 

  • Yang Y, Jeong H, Song SC, Wang J, Yeap G, Jung SO (2016) Single bit-line 7T SRAM cell for near-threshold voltage operation with enhanced performance and energy in 14 nm FinFET technology. IEEE Trans Circuits Syst I Regul Pap 63(7):1023–1032

    Article  MathSciNet  Google Scholar 

  • Zimmermann R, Fichtner W (1997) Low-power logic styles: CMOS versus pass-transistor logic. IEEE J Solid State Circuits 32(7):1079–1090

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohammad Hossein Moaiyeri.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Moaiyeri, M.H., Sabetzadeh, F. & Angizi, S. An efficient majority-based compressor for approximate computing in the nano era. Microsyst Technol 24, 1589–1601 (2018). https://doi.org/10.1007/s00542-017-3587-2

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00542-017-3587-2

Navigation