Skip to main content

Advertisement

Log in

On-chip sensor selection for effective speed-binning

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

Advance in technology nodes of integrated circuit (IC) fabrication has introduced increased variation. This presents new challenges for delay testing. To address this challenge, speed-binning based on on-chip delay sensor measurements has been proposed to supplement current speed binning methods. However, due to limitations such as computational complexity, information property management, and design placement and routing restrictions, sensor placement cannot all be perfect, and therefore not all sensor data are guaranteed to be beneficial for IC delay classification. Therefore, in this paper we proposed an optimization based on genetic algorithm in order to select the most suitable speed-sensors for speed binning. Based on SPICE simulation as well as silicon data collected from on-chip delay sensors in a commercial design using a sub-65 nm process, we showed that optimizing sensor selection can improve speed-binning accuracy. In both experiments, the proposed optimization algorithm demonstrated improvement over using all sensor data. Result showed the proposed method is capable of improving accuracy beyond 94 and \(93\,\%\), respectively.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

References

  1. Belete, D., Razdan, A., Schwarz, W., Raina, R., Hawkins, C., & Morehead, J. (2002). Use of dft techniques in speed grading a 1 GHz+ microprocessor. In Proceedings International Test Conference 2002 (pp. 1111–1119). doi:10.1109/TEST.2002.1041868.

  2. Chen, J., & Tehranipoor, M. (2013). Critical paths selection and test cost reduction considering process variations. In Proceedings of the 2013 22nd Asian Test Symposium, ATS ’13 (pp. 259–264). Washington, DC: IEEE Computer Society. doi:10.1109/ATS.2013.55

  3. Cortes, C., & Vapnik, V. (1995). Support-vector networks. Machine Learning, 20(3), 273–297. doi:10.1007/BF00994018.

    MATH  Google Scholar 

  4. Cory, B., Kapur, R., & Underwood, B. (2003). Speed binning with path delay test in 150-nm technology. IEEE Design Test of Computers, 20(5), 41–45. doi:10.1109/MDT.2003.1232255.

    Article  Google Scholar 

  5. Fang, B., Tehranipoor, M., & Chen, H. (2013). Worst-case critical-path delay analysis considering power-supply noise. In 22nd Asian Test Symposium (ATS) (pp. 37–42). doi:10.1109/ATS.2013.17.

  6. Ghosh, A., Rao, R., Chuang, C. T., & Brown, R. (2008). On-chip process variation detection and compensation using delay and slew-rate monitoring circuits. In 9th International Symposium on Quality Electronic Design, 2008. ISQED 2008 (pp. 815–820). doi:10.1109/ISQED.2008.4479843.

  7. Jang, E. J., Gattiker, A., Nassif, S., & Abraham, J. (2012). An oscillation-based test structure for timing information extraction. In IEEE 30th VLSI Test Symposium (VTS), 2012 (pp. 74–79). doi:10.1109/VTS.2012.6231083.

  8. Karnik, T., Borkar, S., & De, V. (2004). Probabilistic and variation-tolerant design: Key to continued moore’s law. In Proceedings of Workshop Timing Issues in Specification Synthesis Digital Systems.

  9. Liang, X., Canal, R., Wei, G. Y., & Brooks, D. (2007). Process variation tolerant 3t1d-based cache architectures. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 40 (pp. 15–26). Washington, DC: IEEE Computer Society. doi:10.1109/MICRO.2007.33.

  10. Liu, Q., & Sapatnekar, S. S. (2007). Confidence scalable post-silicon statistical delay prediction under process variations. In Proceedings of the 44th Annual Design Automation Conference, DAC ’07 (pp. 497–502). New York, NY: ACM. doi:10.1145/1278480.1278609.

  11. Mitchell, M. (1996). An introduction to genetic algorithms. Cambridge: MIT Press.

    MATH  Google Scholar 

  12. Numpacharoen, K., & Atsawarungruangkit, A. (2012). Generating correlation matrices based on the boundaries of their coefficients. PLoS One, 7(11), e48902. doi:10.2139/ssrn.2127689.

  13. Onodera, H., & Terada, H. (2009). Characterization of wid delay variability using ro-array test structures. In IEEE 8th International Conference on ASIC, 2009. ASICON ’09 (pp. 658–661). doi:10.1109/ASICON.2009.5351332.

  14. OpenSPARC T1 Micro Architecture Specification. http://www.oracle.com/technetwork/systems/opensparc/t1-01-opensparct1-micro-arch-1538959.html

  15. Oracle Inc: OpenSPARC TM is the copyright of Oracle Inc.

  16. Paul, S., Krishnamurthy, S., Mahmoodi, H., & Bhunia, S. (2007). Low-overhead design technique for calibration of maximum frequency at multiple operating points. In IEEE/ACM International Conference on Computer-Aided Design, 2007. ICCAD 2007 (pp. 401–404). doi:10.1109/ICCAD.2007.4397298.

  17. Pei, S., Li, Z., Li, H., Li, X., & Wei, S. (2012). A unified architecture for speed-binning and circuit failure prediction and detection. In IEEE International Conference on Computer Science and Automation Engineering (CSAE), 2012, vol. 2 (pp. 418–421). doi:10.1109/CSAE.2012.6272805.

  18. Sarangi, S., Greskamp, B., Teodorescu, R., Nakano, J., Tiwari, A., & Torrellas, J. (2008). Varius: A model of process variation and resulting timing errors for microarchitects. IEEE Transactions on Semiconductor Manufacturing, 21(1), 3–13. doi:10.1109/TSM.2007.913186.

    Article  Google Scholar 

  19. Srivastava, A., Sylvester, D., & Blaauw, D. (2006). Statistical analysis and optimization for VLSI: Timing and power. New York: Springer.

    Google Scholar 

  20. Synopsys 32/28nm Generic Library for Teaching IC Design. https://www.synopsys.com/COMMUNITY/UNIVERSITYPROGRAM/Pages/32-28nm-generic-library.aspx

  21. Synopsys Inc: HSPICE User Guide: Basic Simulation and Analysis, k2015.06 edn.

  22. Synopsys Inc: HSPICE® is the copyright of Synopsys Inc.

  23. Synopsys Inc: Synopsys® is the copyright of Synopsys Inc.

  24. The MathWorks Inc: MATLABrelease 2012b, and statistics and machine learning toolbox TM are copyrights of MathWorks Inc.

  25. Wang, S., Chen, J., & Tehranipoor, M. (2012). Representative critical reliability paths for low-cost and accurate on-chip aging evaluation. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 2012 (pp. 736–741).

  26. Wang, D., & Mcnall, W. (2004). A statistical model based asic skew selection method. In IEEE Workshop on Microelectronics and Electron Devices (pp. 64–66). doi:10.1109/WMED.2004.1297353.

  27. Wang, X., Tehranipoor, M., & Datta, R. (2008). Path-ro: A novel on-chip critical path delay measurement under process variations. In IEEE/ACM International Conference on Computer-Aided Design, 2008. ICCAD 2008 (pp. 640–646). doi:10.1109/ICCAD.2008.4681644.

  28. Wang, X., Tehranipoor, M., George, S., Tran, D., & Winemberg, L. (2012). Design and analysis of a delay sensor applicable to process/environmental variations and aging measurements. IEEE Transactions on Very Large Scale Integration VLSI Systems, 20(8), 1405–1418. doi:10.1109/TVLSI.2011.2158124.

    Article  Google Scholar 

  29. Wang, L. T., Wu, C. W., & Wen, X. (2006). VLSI test principles and architectures: Design for testability (systems on silicon). San Francisco: Morgan Kaufmann Publishers Inc.

    Google Scholar 

  30. Zeng, J., Abadir, M., Vandling, G., Wang, L., Kolhatkar, A., & Abraham, J. (2004). On correlating structural tests with functional tests for speed binning of high performance design. In International Proceedings of Test Conference, 2004. ITC 2004 (pp. 31–37). doi:10.1109/TEST.2004.1386934.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Qihang Shi.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Shi, Q., Wang, X., Winemberg, L. et al. On-chip sensor selection for effective speed-binning. Analog Integr Circ Sig Process 88, 369–382 (2016). https://doi.org/10.1007/s10470-016-0698-0

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-016-0698-0

Keywords

Navigation