Skip to main content
Log in

Application of Machine Learning Techniques in Post-Silicon Debugging and Bug Localization

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

As the size of hardware (HW) design increases significantly, a huge amount of data is generated during the design simulation, emulation or prototyping. Debugging large HW designs becomes a tedious, time consuming and a bottleneck task within the function verification activities. This paper proposes the utilization of machine learning techniques to automate the diagnosis of design trace dump as well as helping in bug localization during post-silicon validation. Our framework starts by signal selection algorithm that identifies which signals to monitor during design execution. Signal selection depends on signal types as well as their connectivity network. The design is then executed and the trace dump is saved for offline analysis. Big-Data processing technique, namely, Map-Reduce is used to overcome the challenge of processing huge trace dump resulted from design running on FPGA prototype. K-means Clustering method is applied to group trace segments that are very similar and to identify the ones with a rare occurrence during the design execution. Additionally, we propose a bug localization framework in which X-means clustering is used to group the passing regression tests in clusters such that buggy tests can be detected when they fail to be assigned to any of the trained clusters. Our experimental results demonstrate the feasibility of the proposed approach in guiding the debugging effort using a group of industrial HW designs and its ability to detect multiple design injected defects using mutation-based-testing method.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21

Similar content being viewed by others

References

  1. S. Mitra et al., Post-silicon validation opportunities, challenges and recent advances, In Design Automation Conference, DAC, 2010

  2. M. Dehbashi, A. Sülflow, and G. Fey, Automated design debugging in a testbench-based verification environment, In Euromicro Conference on Digital System Design (DSD), 2011

  3. E. Singh, C. Barrett, S. Mitra, E-QED: electrical bug localization during post-silicon validation enabled by quick error detection and formal methods, In Computer aided verification, CAV 2017, pp. 104–125

  4. S. Park, A. Bracy, H. Wang, S. Mitra, BLoG: post-silicon bug localization in processors using bug localization graphs, In Design Automation Conference, DAC 2010

  5. A. DeOrio, D. S. Khudia, V. Bertacco, Post-silicon bug diagnosis with inconsistent executions, In the proceedings of IC Computer Aid Design ICCAD, 2011

  6. C. Richard Ho, M. Theobald, B. Batson, J.P. Grossman, Post-silicon debug using formal verification waypoints, In the proceedings of the Design and Verification Conference, DVCon, 2009, PP1–7

  7. M. Dehbashi, G. Fey, Automated Post-Silicon Debugging of Design Bugs, http://www.informatik.uni-bremen.de/agra/doc/konf/11S4D_APSDDB.pdf

  8. A. DeOrio, Q. Li, M. Burgess, V. Bertacco, Machine learning based anomaly detection for Postsilicon bug diagnosis, In the Proceedings of Design Automation and Test in Europe, DATE, 2013

  9. X. Liu and Q. Xu, Trace signal selection for visibility enhancement in post-silicon validation, In the Proceedings of Design Automation and Test in Europe, DATE, 2009, pp. 1338–1343

  10. Ko HF, Nicolici N (2009) Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug. IEEE Transactions Computer Aided Design Integration Circuits System 28(2):285–297

    Article  Google Scholar 

  11. Basu K, Mishra P (2013) RATS: restoration-aware trace signal selection for post-silicon validation. IEEE Transaction Very Large Scale Integration (VLSI) System 21(4):605–613

    Article  Google Scholar 

  12. D. Chatterjee, C. McCarter, and V. Bertacco, Simulation-based signal selection for state restoration in silicon debug, In the Proceedings of IEEE/ACM International Conference Computer-Aided Design (ICCAD), 2011, pp. 595–601

  13. K. Rahmani, P. Mishra, and S. Ray, Efficient trace signal selection using augmentation and ILP techniques", International Symposium on Quality Electronic Design (ISQED), 2014, pp. 148–155

  14. S. Prabhakar and M. Hsiao (2009) Using non-trivial logic implications for trace buffer-based silicon debug. In: Asian Test Symposium, ATS. pp. 131–136

  15. Li M, Davoodi A (2014) A hybrid approach for fast and accurate trace signal selection for post-silicon debug. IEEE Transactions Computer-Aided Design of Integrated Circuits and Systems 33(7):1081–1094

    Article  Google Scholar 

  16. H. F. Ko and N. Nicolici, Combining scan and trace buffers for enhancing real-time observability in post-silicon debugging, In the Proceedings of 15th IEEE Europe Test Symposium (ETS), 2010, pp. 62–67

  17. K. Rahmani and P. Mishra, Efficient signal selection using finegrained combination of scan and trace buffers, In Proceedings of 26th international conference VLSI design, 2013,pp. 308–313

  18. H. F. Ko and N. Nicolici, Automated trace signals selection using the RTL descriptions, In Proceedings international test conference, 2011, pp. 1–10

  19. E. Fox, C. Guestrin, Machine Learning: Clustering and Retrieval, https://www.coursera.org/learn/ml-clustering-and-retrieval/home, 2016

  20. Questa Formal Property Check, https://www.mentor.com/products/fv/questa-property-checking

  21. Networkx,“A software for complex network”, https://networkx.github.io/

  22. Certus Silicon Debug, https://www.mentor.com/products/fv/certus-silicon-debug

  23. J. Dean and S. Ghemawat “MapReduce: Simplified Data Processing on Large Clusters”,OSDI'04: ,In the proceedings of Sixth Symposium on Operating System Design and Implementation(OSDI'04), 2004

  24. T. White, “Hadoop: The Definitive Guide”, 2015, 4th Edition, ISBN: 978-1-491-90163-2

  25. A guide to using LZO compression in Hadoop, 2012, https://nnc3.com/mags/LJ_1994-2014/LJ/220/11186.html

  26. D. Pelleg, A. Moore “X-means: extending K-means with efficient estimation of the number of clusters”, In Proceedings of the international conference on machine learning, ICML, 2000

  27. M. Narasimha, V. Susheela “Pattern Recognition, An Algorithmic Based Approach”, 2011,Springer 978–0–85729-495-1, pp 48–85

  28. Manning CD, Raghav P, Schultz H (2008) Introduction to information retrieval. Cambridge University Press, ISBN 0521865719

  29. D. Arthur, S. Vassilvitskii, "k-means++: the advantages of careful seeding”, In the Proceedings of the 18th ACM-SIAM symposium on Discrete algorithms, 2007,PP. 1027–1035

  30. Opencres benchmarks “http://opencores.org

  31. Y. Serrestou, V. Beroulle and C. Robach,“Functional Verification of RTL Designs Driven by Mutation Testing Metrics”, In Proceedings of Digital System Design Architectures, Methods and Tools(DSD), 2007, PP 222–227

  32. Mutation operators listing http://pitest.org/quickstart/mutators

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Eman El Mandouh.

Additional information

Responsible Editor: V. D. Agrawal

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

El Mandouh, E., Wassal, A.G. Application of Machine Learning Techniques in Post-Silicon Debugging and Bug Localization. J Electron Test 34, 163–181 (2018). https://doi.org/10.1007/s10836-018-5716-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-018-5716-y

Keywords

Navigation