Skip to main content
Log in

Co-Optimization of Test Wrapper Length and TSV for TSV Based 3D SOCs

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

In 3D IC, wrapper chains can span across vertical directions which causes the increase in number of TSVs(through-silicon-vias)(which is used to interconnect different cores in the vertical directions). Excessive use of TSVs in wrapper design causes routing congestion and additional overhead in manufacturing. Therefore, optimization of wrapper length and judicious use of TSVs are the focus of 3D wrapper architecture design. In this work, we first propose a heuristic procedure to determine the placement of wrapper elements in several layers of 3D SOC for a number of wrapper chains and interconnect them using available number of TSVs such that the length of the longest wrapper length (LWL) is minimized. Then we apply particle swarm optimization (PSO) based metaheuristic approach on the results obtained in first case to determine the placement of wrapper chains and interconnect them using minimum number of TSVs such that the length of LWL is minimized. We compare our results with earlier works and the experimental results show the efficacy of our algorithm.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5

Similar content being viewed by others

References

  1. Cheng Y, Zhang L, Han Y, Liu J, Li X (2011) Wrapper chain design for testing TSVs minimization in circuit-partitioned 3D SoC. In: Proc of IEEE Asian test symposium (ATS), pp 181–186

  2. Garey M R, Johnson D S (1979) Computers and Intractability - A guide to the theory of NP-completeness W.H. Freeman and Company, San Francisco

    MATH  Google Scholar 

  3. Goel SK, Marinissen EJ (2003) SOC Test architecture design for efficient utilization of test bandwidth. ACM Trans Des Autom Electron Syst 8:399–429

    Article  Google Scholar 

  4. Harutal T, Nakajima T, Hashizume J et al (2017) 4.6 a 1/2.3in 20Mpixel 3-layer stacked CMOS image sensor with DRAM. In: Proc of IEEE international solid-state circuits conference (ISSCC), San Francisco, CA, pp 76–77

  5. Iyengar V, Chakrabarty K, Marinissen E J (2002) Test wrapper and test access mechanism co-optimization for System-on-Chip. Journal of Electronic Testing: Theory and Applications (JETTA) 18:213–230

    Article  Google Scholar 

  6. Jiangs L, Xu Q, Chakrabarty K, Mak MT (2009) Layout-driven test-architecture design and optmization for 3D SoCs under pre-bond test-pin-count constraint. In: Proc. of IEEE/ACM international conference on computer-aided design-digest of technical papers, pp 191–196

  7. Kaibartta T, Das DK (2019) Optimization of test wrapper length for TSV based 3D SOCs using a heuristic approach. In: Proc. of VLSI design and test (VDAT), pp 310–321

  8. Kaibartta T, Giri C, Rahaman H, Das D K (2019) Apprach of genetic algorithm for power-aware testing of 3D IC. IET Computers & Digital Techniques 13:383–396

    Article  Google Scholar 

  9. Kim J-S, et al. (2012) A 1.2 V 12.8 GB/s 2 GB mobile wide-I/O DRAM with 4 128 I/Os using TSV based stacking. IEEE J Solid-State Circuits 47:107–116

    Article  Google Scholar 

  10. Klumpp A, Ramm P, Wieland R (2010) 3D-integration of silicon devices: A key technology for sophisticated products. In: Proc of design, automation & test europe conference & exhibition (DATE), Dresden, Germany, pp 1678–1683

  11. Loi I, Mitra S, Lee TH, Fujita S, Benini L (2008) A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. In: Proc of 2008 IEEE/ACM international conference on computer-aided design (ICCAD), pp 598–602

  12. Lu T, Serafy C, Yang Z, et al. (2017) TSV-Based 3-D ICs: design methods and tools. IEEE Trans Comput-Aided Des Integr Circuits Syst (TCAD) 36:1593–1619

    Article  Google Scholar 

  13. Lu T, Srivastava A (2015) Modeling and layout optimization for tapered TSVs. IEEE Transaction on Very Large Scale Integration (TVLSI) systems 23:3129–3132

    Article  Google Scholar 

  14. Noia B, Chakrabarty K (2011) Test-wrapper optimisation for embedded cores in through-silicon via-based three-dimensional system on chips, vol 5, pp 186–197

  15. Noia B, Chakrabarty K, Marinissen E J (2012) Optimizing method for Post-Bond Testing of 3D Stacked ICs. Journal of Electronic Testing: Theory and Applications (JETTA) 28:1–18

    Article  Google Scholar 

  16. Noia B, Chakrabarty K, Xie Y (2009) Test-wrapper optimization for embedded cores in TSV-based three-dimensional SOCs. In: Proc of the IEEE international conference on computer design (ICCD), pp 70–77

  17. Pawlowski J T (2011) Hybrid memory cube (HMC). In: Proc of IEEE hot chips 23 Symposium (HCS), Stanford, CA, USA, pp 1–24

  18. Raj N, SenGupta I (2018) Balanced wrapper design to test the embedded core partitioned into multiple layer for 3d SOC targeting power and number of TSVs. In: Proc of the international conference on microelectronics, computing & communication systems, pp 117–125

  19. Roy S K, Giri C, Rahaman H (2016) Optimization of test wrapper for TSV based 3D SOCs, vol 32, pp 511–529

  20. Saha D, Sur-Kolay S (2019) Guided GA-based Multiobjective Optimization of Placement and Assignment of TSvs in 3d-ICs. IEEE Tranasaction on Very Large Scale Integration (VLSI)Systems 27:1742–1750

    Article  Google Scholar 

  21. Samsung: ’Samsung starts mass producing industry’s first 3D TSV technology based DDR4 modules for enterprise servers’. August 2014. Available at http://www.samsung.com/semiconductor/about-us/news/13602

  22. Wang L-T, Wu C-W, Xioquing W (2006) VLSI Test principles and architectures: Design for testability. Morgan Kaufmann Inc, pp 587–588

  23. Wu Y, Huang S (2018) TSV-aware 3D test wrapper chain optimization. In: Proc of international symposium on VLSI design, automation and test (VLSI-DAT), Hsinchu, pp 1–4

  24. Wu T-T, Huang WH, Yang C, et al. (2015) Sub-50 nm monolithic 3d IC with low-power CMOS inverter and 6t SRAM. In: Proc of international symposium on VLSI technology, systems and applications (VLSI-TSA), Hsinchu, Taiwan, pp 1–2

  25. Wu X, Chen Y, Chakrabarty K, Xie Y (2010) Test-access mechanism optimization for core-based three-dimensional SOCs. Microelectron J 41:601–615

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Tanusree Kaibartta.

Additional information

Responsible Editor: K. Chakrabarty

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kaibartta, T., Biswas, G.P. & Das, D.K. Co-Optimization of Test Wrapper Length and TSV for TSV Based 3D SOCs. J Electron Test 36, 239–253 (2020). https://doi.org/10.1007/s10836-020-05872-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-020-05872-7

Keywords

Navigation