Skip to main content
Log in

Investigation of RuZn alloy as barrier to Cu interconnect

  • Published:
Journal of Materials Science: Materials in Electronics Aims and scope Submit manuscript

Abstract

Ru is an excellent adhesion layer to copper and possible conductor material yet it has poor barrier properties and poor adhesion with SiO2. In this work, a novel self-formed barrier, RuZn with around 0.3 at.% Zn has been prepared by sputtering and following annealing. Its adhesion property with SiO2 and thermal stability are much improved. A very high average value of 42.42 J/m2 for the interface fracture energy between RuZn and SiO2 can be obtained through an improved four-point bending method. Results show that during the annealing, Zn from the RuZn migrates to the Ru/SiO2 interface and Zn2SiO4 forms at the Ru/SiO2 interface, which inhibits the diffusion of Cu as well as improves the adhesion.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

Data availability

All data generated or analyzed during this study are included in this published article.

Code availability

Not applicable.

References

  1. X.P. Qu, J.J. Tan, M. Zhou, T. Chen, Q. Xie, G.P. Ru, B.Z. Li, Improved barrier properties of ultrathin Ru film with TaN interlayer for copper metallization. Appl. Phys. Lett. 88, 151912 (2006). https://doi.org/10.1063/1.2195112

    Article  CAS  Google Scholar 

  2. H. Kim, T. Koseki, T. Ohba, T. Ohta, Y. Kojima, H. Sato, Y. Shimogaki, Cu wettability and diffusion barrier property of Ru thin film for Cu metallization. J. Electrochem. Soc. 152, G594–G600 (2005). https://doi.org/10.1149/1.1939353

    Article  CAS  Google Scholar 

  3. R. Bernasconi, L. Magagnin, Review-Ruthenium as diffusion barrier layer in electronic interconnects: current literature with a focus on electrochemical deposition methods. J. Electrochem. Soc. 166, D3219–D3225 (2018). https://doi.org/10.1149/2.0281901jes

    Article  CAS  Google Scholar 

  4. M.W. Lane, C.E. Murray, F.R. McFeely, P.M. Vereecken, R. Rosenberg, Liner materials for direct electrodeposition of Cu. Appl. Phys. Lett. 83, 2330–2332 (2003). https://doi.org/10.1063/1.1610256

    Article  CAS  Google Scholar 

  5. T.N. Arunagiri, Y. Zhang, O. Chyan, M. El-Bouanani, M.J. Kim, K.H. Chen, C.T. Wu, L.C. Chen, 5 nm ruthenium thin film as a directly plateable copper diffusion barrier. Appl. Phys. Lett. 86, 083104 (2005). https://doi.org/10.1063/1.1867560

    Article  CAS  Google Scholar 

  6. O.V. Pedreira, K. Croes, A. Leśniewska, C. Wu, M. Van Der Veen, J. De Messemaeker, K. Vandersmissen, N. Jourdan, L. Wen, C. Adelmann, Reliability study on cobalt and ruthenium as alternative metals for advanced interconnects, in: 2017 IEEE Int. Reliab. Phys. Symp. Proc., 2017, pp. 6B-2.1–6B-2.8. https://doi.org/10.1021/acsami.6b07181

  7. A. Gupta, S. Kundu, L. Teugels, J. Bommels, C. Adelmann, N. Heylen, G. Jamieson, O.V. Pedreira, I. Ciofi, B. Chava, High-aspect-ratio ruthenium lines for buried power rail, in, IEEE Int. Interconnect Technol. Conf. (IITC) 2018, 4–6 (2018). https://doi.org/10.1109/IITC.2018.8430415

    Article  Google Scholar 

  8. L.G. Wen, P. Roussel, O.V. Pedreira, B. Briggs, B. Groven, S. Dutta, M.I. Popovici, N. Heylen, I. Ciofi, K. Vanstreels, F.W. Osterberg, O. Hansen, D.H. Petersen, K. Opsomer, C. Detavernie, C.J. Wilson, S.V. Elshocht, K. Croes, J. Bommels, Z. Tokei, C. Adelmann, Atomic layer deposition of ruthenium with TiN interface for sub-10 nm advanced interconnects beyond copper. ACS Appl. Mater. Interfaces 8, 26119–26125 (2016). https://doi.org/10.1021/acsami.6b07181

    Article  CAS  Google Scholar 

  9. C.-C. Yang, T. Spooner, S. Ponoth, K. Chanda, A. Simon, C. Lavoie, M. Lane, C.-K. Hu, E. Liniger, L. Gignac, Physical, electrical, and reliability characterization of Ru for Cu interconnects, in, IEEE Int. Interconnect Technol. Conf. (IITC) 2006, 187–190 (2006). https://doi.org/10.1109/IITC.2006.1648684

    Article  Google Scholar 

  10. T. Scherban, B. Sun, J. Blaine, C. Block, B. Jin, E. Andideh, Interfacial adhesion of copper-low k interconnects, in: Proceedings of the IEEE 2001 Int. Interconnect Technol. Conf. (IITC), 2001, pp. 257–259. https://doi.org/10.1109/IITC.2001.930077

  11. J. Musschoot, Q. Xie, D. Deduytsche, K. De Keyser, D. Longrie, J. Haemers, S. Van den Berghe, R.L. Van Meirhaeghe, J. D’Haen, C. Detavernier, Texture of atomic layer deposited ruthenium. Microelectron. Eng. 87, 1879–1883 (2010). https://doi.org/10.1016/j.mee.2009.11.020

    Article  CAS  Google Scholar 

  12. R. Chan, T.N. Arunagiri, Y. Zhang, O. Chyan, R.M. Wallace, M.J. Kim, T.Q. Hurd, Diffusion studies of copper on ruthenium thin film - A plateable copper diffusion barrier. Electrochem. Solid-State Lett. 7, G154–G157 (2004). https://doi.org/10.1149/1.1757113

    Article  CAS  Google Scholar 

  13. K. Son, Y.-H. Kim, S.-H. Kim, Y.-B. Park, Interfacial adhesion energies of Ru-Mn direct plateable diffusion barriers prepared by atomic layer deposition for advanced Cu interconnects, J. Mater. Sci.: Mater. Electron., 32 (2021) 20559–20569. https://doi.org/10.1007/s10854-021-06567-1

  14. H.J. Lee, T.E. Hong, S.H. Kim, Atomic layer deposited self-forming Ru-Mn diffusion barrier for seedless Cu interconnects. J. Alloys Compd. 686, 1025–1031 (2016). https://doi.org/10.1016/j.jallcom.2016.06.270

    Article  CAS  Google Scholar 

  15. H. Wojcik, C. Krien, U. Merkel, J.W. Bartha, M. Knaut, M. Geidel, B. Adolphi, V. Neumann, C. Wenzel, M. Bendlin, K. Richter, D. Makarov, Characterization of Ru-Mn composites for ULSI interconnects. Microelectron. Eng. 112, 103–109 (2013). https://doi.org/10.1016/j.mee.2013.02.057

    Article  CAS  Google Scholar 

  16. G. He, L. Yao, Z. Song, Y. Li, K. Xu, Diffusion barrier performance of nano-structured and amorphous Ru-Ge diffusion barriers for copper metallization. Vacuum 86, 965–969 (2012). https://doi.org/10.1016/j.vacuum.2011.06.028

    Article  CAS  Google Scholar 

  17. A.P. McCoy, J. Bogan, L. Walsh, C. Byrne, P. Casey, G. Hughes, The addition of aluminium to ruthenium liner layers for use as copper diffusion barriers. Appl. Surf. Sci. 307, 677–681 (2014). https://doi.org/10.1016/j.apsusc.2014.04.097

    Article  CAS  Google Scholar 

  18. J. Li, H.-S. Lu, Y.-W. Wang, X.-P. Qu, Sputtered Ru-Ti, Ru-N and Ru-Ti-N films as Cu diffusion barrier. Microelectron. Eng. 88, 635–640 (2011). https://doi.org/10.1016/j.mee.2010.06.031

    Article  CAS  Google Scholar 

  19. J.J. Tan, X.P. Qu, Q. Xie, Y. Zhou, G.P. Ru, The properties of Ru on Ta-based barriers. Thin Solid Films 504, 231–234 (2006). https://doi.org/10.1016/j.tsf.2005.09.129

    Article  CAS  Google Scholar 

  20. A.P. McCoy, P. Casey, J. Bogan, J.G. Lozano, P.D. Nellist, G. Hughes, Chemical and structural investigations of the incorporation of metal manganese into ruthenium thin films for use as copper diffusion barrier layers. Appl. Phys. Lett. 101, 231603 (2012). https://doi.org/10.1063/1.4769229

    Article  CAS  Google Scholar 

  21. K. Barmak, C. Cabral, K.P. Rodbell, J.M.E. Harper, On the use of alloying elements for Cu interconnect applications. J. Vac. Sci. Technol. B (2006). https://doi.org/10.1116/1.2357744

    Article  Google Scholar 

  22. M. Watanabe, A. Teraoka, E. Kondoh, Room-temperature intermixing for adhesion enhancement of Cu/SiO2 interface by adopting SiO2 surface dope and noble metal catalyzation, Jpn. J. Appl. Phys., 53 (2014). https://doi.org/10.7567/JJAP.53.05GA02

  23. J. Baima, J. Goniakowski, C. Noguera, A. Koltsov, J.-M. Mataigne, Surface thermodynamics of silicate compounds: the case of Zn2SiO4 (001) surfaces and thin films. Phys. Chem. Chem. Phys. 21, 13287–13295 (2019). https://doi.org/10.1039/C9CP02039J

    Article  CAS  Google Scholar 

  24. A. Joi, K. Venkatraman, K.C. Tso, D. Dictus, Y. Dordi, P.W. Wu, C.W. Pao, R. Akolkar, Interface engineering strategy utilizing electrochemical ALD of Cu-Zn for enabling metallization of sub-10 nm semiconductor device nodes. ECS J. Solid State Sci. Technol. 8, P516–P521 (2019). https://doi.org/10.1149/2.0181909jss

    Article  CAS  Google Scholar 

  25. G. Voronkova, A. Zuev, V. Zuev, A. Kiryukhin, L. Chepik, E. Troshina, High-temperature zinc doping of silicon from zinc-containing SiO2 films. Inorg. Mater. 39, 904–910 (2003). https://doi.org/10.1023/A:1025540818101

    Article  CAS  Google Scholar 

  26. M.C. Biesinger, L.W.M. Lau, A.R. Gerson, R.S.C. Smart, Resolving surface chemical states in XPS analysis of first row transition metals, oxides and hydroxides: Sc, Ti, V, Cu and Zn. Appl. Surf. Sci. 257, 887–898 (2010). https://doi.org/10.1016/j.apsusc.2010.07.086

    Article  CAS  Google Scholar 

  27. J. Moulder, W. Stickle, P. Sobol, K. Bomben, Handbook of X-ray Photoelectron Spectroscopy; Perkin-Elmer: Eden Prairie, MN, 1992, 2002.

  28. M. Damayanti, T. Sritharan, Z.H. Gan, S.G. Mhaisalkar, N. Jiang, L. Chan, Ruthenium barrier/seed layer for Cu/Low-κ metallization. J. Electrochem. Soc. 153, J41 (2006). https://doi.org/10.1149/1.2188328

    Article  CAS  Google Scholar 

  29. C. Ryu, K.W. Kwon, A.L.S. Loke, H. Lee, T. Nogami, V.M. Dubin, R.A. Kavari, G.W. Ray, S.S. Wong, Microstructure and reliability of copper interconnects. IEEE Trans. Electron Devices 46, 1113–1120 (1999). https://doi.org/10.1109/16.766872

    Article  CAS  Google Scholar 

  30. P. Wang, X.P. Qu, Y. Dordi, Study of adhesion for Cu/Ru (Zn) on dielectrics by an improved four-point bending measurement, in, IEEE Int. Interconnect Technol. Conf. (IITC) 2020, 115–117 (2020). https://doi.org/10.1109/IITC47697.2020.9515675

    Article  Google Scholar 

  31. P. Parhi, V. Manivannan, Novel microwave initiated synthesis of Zn2SiO4 and MCrO4 (M=Ca, Sr, Ba, Pb). J. Alloys Compd. 469, 558–564 (2009). https://doi.org/10.1016/j.jallcom.2008.02.010

    Article  CAS  Google Scholar 

  32. U. Meier, C. Pettenkofer, Morphology of the Si-ZnO interface. Appl. Surf. Sci. 252, 1139–1146 (2005). https://doi.org/10.1016/j.apsusc.2005.02.039

    Article  CAS  Google Scholar 

  33. C.M. Lin, Y. Tsai, J.S. Chen, The microstructure and cathodoluminescence characteristics of sputtered Zn2SiO4: Ti phosphor thin films. Thin Solid Films 515, 7994–7999 (2007). https://doi.org/10.1016/j.tsf.2007.04.024

    Article  CAS  Google Scholar 

  34. I. Barin, G. Platzki, Thermochemical data of pure substances. Wiley Online Library (1989). https://doi.org/10.1002/9783527619825

    Article  Google Scholar 

  35. J. Baima, J. Goniakowski, C. Noguera, A. Koltsov, J.M. Mataigne, Optimization of anticorrosive zinc coatings: tuning the adhesion of Zinc/Silica contact by interfacial ternary oxide formation. J. Phys. Chem. C 124, 9337–9344 (2020). https://doi.org/10.1021/acs.jpcc.0c00617

    Article  CAS  Google Scholar 

Download references

Acknowledgements

This work was supported by the National Natural Science Foundation of China (NSFC-61574047). The author Peng Wang appreciates help from Mr. Xu Tang from the Institute of geology and geophysics, Chinese Academy of Sciences, in the TEM results analysis.

Funding

This work was supported by the National Natural Science Foundation of China (NSFC-61574047).

Author information

Authors and Affiliations

Authors

Contributions

PW: Conceptualization, investigation, visualization, formal analysis, writing—original draft. XPQ: Project administration, resources, methodology, validation, writing—review and editing. YD: Resources, writing—review and editing. AJ: Resources, writing—review and editing. All authors discussed the results and revised the manuscript.

Corresponding author

Correspondence to Xin-Ping Qu.

Ethics declarations

Conflicts of interest

The authors declare that they have no known competing financial interests or personal relationships that could have appeared to influence the work reported in this paper.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Wang, P., Qu, XP., Dordi, Y. et al. Investigation of RuZn alloy as barrier to Cu interconnect. J Mater Sci: Mater Electron 33, 6318–6328 (2022). https://doi.org/10.1007/s10854-022-07806-9

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10854-022-07806-9

Navigation