Skip to main content
Log in

Abstract

Steady advances in VLSI technology and design tools have extensively expanded the application domain of digital signal processing over the past decade. While application-specific integrated circuits (ASICs) and programmable digital signal processors (PDSPs) remain the implementation mechanisms of choice for many DSP applications, increasingly new system implementations based on reconfigurable computing are being considered. These flexible platforms, which offer the functional efficiency of hardware and the programmability of software, are quickly maturing as the logic capacity of programmable devices follows Moore's Law and advanced automated design techniques become available. As initial reconfigurable technologies have emerged, new academic and commercial efforts have been initiated to support power optimization, cost reduction, and enhanced run-time performance.

This paper presents a survey of academic research and commercial development in reconfigurable computing for DSP systems over the past fifteen years. This work is placed in the context of other available DSP implementation media including ASICs and PDSPs to fully document the range of design choices available to system engineers. It is shown that while contemporary reconfigurable computing can be applied to a variety of DSP applications including video, audio, speech, and control, much work remains to realize its full potential. While individual implementations of PDSP, ASIC, and reconfigurable resources each offer distinct advantages, it is likely that integrated combinations of these technologies will provide more complete solutions.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. D. Singh, J. Rabaey, M. Pedram, F. Catthor, S. Rajgopal, N. Sehgal, and T. Mozdzen, “Power-conscious CAD Tools and Methodologies: A Perspective, ” in Proceedings of the IEEE, vol. 83, no. 4, 1995, pp. 570–594.

    Article  Google Scholar 

  2. J. Rabaey, R. Broderson, and T. Nishitani, “VLSI Design and Implementation Fuels the Signal-Processing Revolution, ” IEEE Signal-Processing Magazine, pp. 22–38, Jan. 1998.

  3. Y.H. Hu, Programmable Digital Signal Processors. New York, N.Y.: Marcel Dekker, Inc. 2000.

    Google Scholar 

  4. E. Lee, “Programmable DSP Architectures, Part I, ” IEEE Signal Processing Magazine, vol. 5, no. 4, Oct. 1988, pp. 4–19.

    Google Scholar 

  5. E. Lee, “Programmable DSP Architectures, Part II, ” IEEE Signal Processing Magazine, vol. 6, no. 1, Jan. 1989, pp. 4–14.

    Google Scholar 

  6. J. Eyre and J. Bier, “The Evolution of DSP Processors: From Early Architecture to the Latest Developments, ” IEEE Signal Processing Magazine, vol. 17, no. 2, March 2000, pp. 44–51.

    Article  Google Scholar 

  7. A. Kalavade, J. Othmer, B. Ackland, and K. Singh, “Software Environment for a Multiprocessor DSP, ” in Proceedings of the 36th Design Automation Conference, June 1999.

  8. P. Schaumont, S. Vernalde, L. Rijnders, M. Engels, and I. Bolsens, “A Programming Environment for the Design of Complex High Speed ASICs, ” in Proceedings of the 35th Design Automation Conference, June 1998, pp. 315–320.

  9. Broadcom Corporation, www.broadcom.com, 2000.

  10. Qualcomm Corporation, www.qualcomm.com, 2000.

  11. N. Nazari, “A 500 Mb/s Disk Drive Read Channel in.25 um CMOS Incorporating Programmable Noise Predictive Viterbi Detection and Trellis Coding, ” in Proceedings of the IEEE International Solid State Circuits Conference, 2000.

  12. A. Bell, The Dynamic Digital Disk. IEEE Spectrum, vol. 36, no. 10, Oct. 1999, pp. 28–35.

    Article  Google Scholar 

  13. G. Weinberger, “The New Millennium: Wireless Technologies for a Truly Mobile Society, ” in Proceedings of the IEEE International Solid State Circuits Conference, 2000.

  14. W. Strauss, “Digital Signal Processing: The New Semiconductor Industry Technology Driver, ” IEEE Signal Processing Magazine, vol. 17, no. 2, March 2000, pp. 52–56.

    Article  Google Scholar 

  15. W. Mangione-Smith, B. Hutchings, D. Andrews, A. Dehon, C. Ebeling, R. Hartenstein, O. Mencer, J. Morris, K. Palem, V. Prasanna, and H. Spaanenberg, “Seeking Solutions in Configurable Computing, ” IEEE Computer, vol. 30, no. 12, Dec. 1997, pp. 38–43.

    Article  Google Scholar 

  16. J. Villasenor and W. Mangione-Smith, “Configurable Computing, ” Scientific American, vol. 276, no. 6, June 1997, pp. 66–71.

    Article  Google Scholar 

  17. S. Hauck, “The Role of FPGAs in Reprogrammable Systems, ” in Proceedings of the IEEE, vol. 86, no. 4, April 1998, 615–638.

    Article  Google Scholar 

  18. J. Villasenor and B. Hutchings, “The Flexibility of Configurable Computing, ” IEEE Signal Processing Magazine, Sept. 1998, pp. 67–84.

  19. Xilinx Corporation, www.xilinx.com, 2000.

  20. Altera Corporation, www.altera.com, 2000.

  21. Xilinx Corporation, The Programmable Logic Data Book, 1994.

  22. Xilinx Corporation, The Programmable Logic Data Book, 1998.

  23. Xilinx Corporation, Virtex Data Sheet, 2000.

  24. J. Arnold, D. Buell, and E. Davis, “Splash II, ” in Proceedings, 4th ACMSymposium of Parallel Algorithms and Architectures, San Diego, CA, 1992, pp. 316–322.

  25. J. Vuillemin, P. Bertin, D. Roncin, M. Shand, H. Touati, and P. Boucard, “Programmable Active Memories: Reconfigurable Systems Come of Age, ” IEEE Transactions on VLSI Systems, vol. 4, no. 1, March 1996, pp. 56–69.

    Article  Google Scholar 

  26. M. Gokhale, W. Holmes, A. Kopser, S. Lucas, R. Minnich, D. Sweeney, and D. Lopresti, “Building and Using a Highly Parallel Programmable Logic Array, ” Computer, vol. 24, no. 1, Jan. 1991, pp. 81–89.

    Article  Google Scholar 

  27. M. Gokhale and R. Minnich, “FPGA Computing in a Data Parallel C, ” in Proceedings IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1993, pp. 94–101.

  28. M. Shand, “Flexible Image Acquisition Using Reconfigurable Hardware, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1995, pp. 125–134.

  29. X.-P. Ling and H. Amano, “WASMII: AData Driven Computer on a Virtual Hardware, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1993, pp. 33–42.

  30. A. Dehon, “DPGA-Coupled Microprocessors: Commodity ICs for the 21st Century, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1994, pp. 31–39.

  31. Atmel Corporation, AT6000 Data Sheet, 1999.

  32. J.P. Heron, R. Woods, S. Sezer, and R.H. Turner, “Development of a Run-Time Reconfiguration System with Low Reconfiguration Overhead, ” Journal of VLSI Signal Processing, 2001.

  33. N. Shirazi, W. Luk, and P.Y. Cheung, “Automating Production of Run-Time Reconfigurable Designs, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1998, pp. 147–156.

  34. N. Hastie and R. Cliff, “The Implementation of Hardware Subroutines on Field Programmable Gate Arrays, ” in Proceedings, IEEE Custom Integrated Circuits Conference, vol. 3, no. 4, May 1990, pp. 1–4.

    Google Scholar 

  35. M. Wirthlin and B. Hutchings, “A Dynamic Instruction Set Computer, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1995, pp. 99–107.

  36. R. Amerson, R. Carter, W.B. Culbertson, P. Kuekes, and G. Snider, “Teramac-Configurable Custom Computing, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1995, pp. 32–38.

  37. W.B. Culbertson, R. Amerson, R. Carter, P. Kuekes, and G. Snider, “Exploring Architectures for Volume Visualization on the Teramac Computer, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1996, pp. 80–88.

  38. J. Varghese, M. Butts, and J. Batcheller, “An Efficient Logic Emulation System, ” IEEE Transactions on VLSI Systems, vol. 1, no. 2, June 1993, pp. 171–174.

    Article  Google Scholar 

  39. J. Babb, R. Tessier, M. Dahl, S. Hanono, D. Hoki, and A. Agarwal, “Logic Emulation with Virtual Wires, ” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 16, no. 6, June 1997, pp. 609–626.

    Article  Google Scholar 

  40. H. Schmit, L. Arnstein, D. Thomas, and E. Lagnese, “Behavioral Synthesis for FPGA-based Computing, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1994, pp. 125–132.

  41. A. Duncan, D. Hendry, and P. Gray, “An Overview of the COBRA-ABS High Level Synthesis System, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1998, pp. 106–115.

  42. R.J. Peterson, “An Assessment of the Suitability of Reconfigurable Systems for Digital Signal Processing, ” Master's Thesis, Brigham Young University, Department of Electrical and Computer Engineering, Sept. 1995.

  43. J. Babb, M. Rinard, C.A. Moritz, W. Lee, M. Frank, R. Barua, and S. Amarasinghe, “Parallelizing Applications to Silicon, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1999.

  44. Texas Instruments Corporation, TMS320C6201 DSP Data Sheet, 2000.

  45. D. Goeckel, “Robust Adaptive Coded Modulation for Time-Varying Channels with Delayed Feedback, ” in Proceedings of the Thirty-Fifth Annual Allerton Conference on Communication, Control, and Computing, Oct. 1997, pp. 370–379.

  46. T. Isshiki and W.W.-M. Dai, “Bit-Serial Pipeline Synthesis for Multi-FPGA Systems with C CC Design Capture, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1996, pp. 38–47.

  47. Altera Corporation, Flex10K Data Sheet, 1999.

  48. S.R. Park and W. Burleson, “Reconfiguration for Power Savings in Real-Time Motion Estimation, ” in Proceedings, International Conference on Acoustics, Speech and Signal Processing, 1997.

  49. G.R. Goslin, “A Guide to Using Field Programmable Gate Arrays for Application-Specific Digital Signal Processing Performance, ” in Xilinx Application Note, 1998.

  50. S. He and M. Torkelson, “FPGA Implementation of FIR Filters Using Pipelined Bit-Serial Canonical Signed Digit Mul-tipliers, ” in Custom Integrated Circuits Conference, 1994, pp. 81–84.

  51. Y.C. Lim, J.B. Evans, and B. Liu, “An Efficient Bit-Serial FIR Filter Architecture, ” in Circuits, Systems, and Signal Processing, May 1995.

  52. J.B. Evans, “Efficient FIR Filter Architectures Suitable for FPGA Implementation, ” IEEE Transactions on Circuits and Systems, vol. 41, no. 7, July 1994, pp. 490–493.

    Article  MATH  Google Scholar 

  53. C.H. Dick, “FPGA Based Systolic Array Architectures for Computing the Discrete Fourier Transform, ” in Proceedings, International Symposium on Circuits and Systems, 1996, pp. 465–468.

  54. P. Kollig, B.M. Al-Hashimi, and K.M. Abbott, “FPGA Implementation of High Performance FIR Filters, ” in Proceedings, International Symposium on Circuits and Systems, 1997, pp. 2240–2243.

  55. B.V. Herzen, “Signal Processing at 250 MHz using High Performance FPGAs, ” in International Symposium on Field Programmable Gate Arrays, Monterey, CA, Feb. 1997, pp. 62–68.

  56. B. Fagin and C. Renard, “Field Programmable Gate Arrays and Floating Point Arithmetic, ” IEEE Transactions on VLSI Systems, vol. 2, no. 3, Sept. 1994, pp. 365–367.

    Article  Google Scholar 

  57. N. Shirazi, A. Walters, and P. Athanas, “Quantitative Analysis of Floating Point Arithmetic on FPGA-based Custom Computing Machines, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1995, pp. 155–162.

  58. L. Louca, W.H. Johnson, and T.A. Cook, “Implementation of IEEE Single Precision Floating Point Addition and Multiplication on FPGAs, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1996, pp. 107–116.

  59. W.B. Ligon, S. McMillan, G. Monn, F. Stivers, and K.D. Underwood, “A Re-evaluation of the Practicality of Floating-Point Operations on FPGAs, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1998.

  60. P. Athanas and A.L. Abbott, “Real-Time Image Processing on a Custom Computing Platform, ” IEEE Computer, vol. 28, no. 2, Feb. 1995, pp. 16–24.

    Article  Google Scholar 

  61. A.L. Abbott, P. Athanas, L. Chen, and R. Elliott, “Finding Lines and Building Pyramids with Splash 2, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1994, pp. 155–161.

  62. N. Ratha, A. Jain, and D. Rover, “Convolution on Splash 2, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1995, pp. 204–213.

  63. M. Shand and L. Moll, “Hardware/Software Integration in Solar Polarimetry, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1998, pp. 18–26.

  64. R. Woods, D. Trainer, and J.-P. Heron, “Applying an XC6200 to Real-Time Image Processing, ” IEEE Design and Test of Computers, vol. 15, no. 1, Jan. 1998, pp. 30–37.

    Article  Google Scholar 

  65. B. Box, “Field Programmable Gate Array Based Reconfigurable Preprocessor, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1994, pp. 40–48.

  66. S. Singh and R. Slous, “Accelerating Adobe Photoshop with Reconfigurable Logic, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1998, pp. 18–26.

  67. R.D. Hudson, D.I. Lehn, and P.M. Athanas, “A Run-Time Reconfigurable Engine for Image Interpolation, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1998, pp. 88–95.

  68. J. Greenbaum and M. Baxter, “Increased FPGA Capacity Enables Scalable, Flexible CCMs: An Example from Image Processing, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1997.

  69. J. Woodfill and B.V. Herzen, “Real-Time Stereo Vision on the PARTS Reconfigurable Computer, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1997, pp. 242–250.

  70. I. Page, “Constructing Hardware-Software Systems from a Single Description, ” Journal of VLSI Signal Processing, vol. 12, no. 1, 1996, pp. 87–107.

    Article  MathSciNet  Google Scholar 

  71. J. Villasenor, B. Schoner, and C. Jones, “Video Communications Using Rapidly Reconfigurable Hardware, ” IEEE Transactions on Circuits and Systems for Video Technology, vol. 5, Dec. 1995, pp. 565–567.

    Article  Google Scholar 

  72. J. Ferguson and E. Lee, “Generating Audio Effects Using Dynamic FPGA Reconfiguration, ” Computer Design, vol. 36, no. 2, Feb. 1997.

  73. D.E. Thomas, J.K. Adams, and H. Schmit, “A Model and Methodology for Hardware-Software Codesign, ” IEEE Design and Test of Computers, vol. 10, no. 3, Sept. 1993, pp. 6–15.

    Article  Google Scholar 

  74. M. Rencher and B.L. Hutchings, “Automated Target Recognition on Splash II, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1997, pp. 192–200.

  75. J. Villasenor, B. Schoner, K.-N. Chia, and C. Zapata, “Configurable Computing Solutions for Automated Target Recognition, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1996, pp. 70–79.

  76. D. Yeh, G. Feygin, and P. Chow, “RACER: A Reconfigurable Constraint-Length 14 Viterbi Decoder, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1996.

  77. G. Brebner and J. Gray, “Use of Reconfigurability in Variable-Length Code Detection at Video Rates, ” in Proceedings, Field Programmable Logic and Applications (FPL'95), Oxford, England, Aug. 1995, pp. 429–438.

  78. M. Agarwala and P.T. Balsara, “An Architecture for a DSP Field-Programmable Gate Array, ” IEEE Transactions on VLSI Systems, vol. 3, no. 1, March 1995, pp. 136–141.

    Article  Google Scholar 

  79. T. Arslan, H.I. Eskikurt, and D.H. Horrocks, “High Level Performance Estimation for a Primitive Operator Filter FPGA, ” in Proceedings, International Symposium on Circuits and Systems, 1998, pp. v237-v240.

  80. A. Ohta, T. Isshiki, and H. Kunieda, “New FPGA Architecture for Bit-Serial Pipeline Datapath, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1998.

  81. D.C. Chen and J. Rabaey, “A Reconfigurable Multiprocessor IC for Rapid Prototyping of Algorithmic-Specific High Speed DSP Data Paths, ” IEEE Journal of Solid-State Circuits, vol. 27, no. 12, Dec. 1992, pp. 1895–1904.

    Article  Google Scholar 

  82. E. Mirsky and A. Dehon, “MATRIX: A Reconfigurable Computing Architecture with Configurable Instruction Distribution and Deployable Resources, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1996, pp. 157–166.

  83. T. Miyamori and K. Olukotun, “A Quantitative Analysis of Reconfigurable Coprocessors for Multimedia Applications, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1998.

  84. A. Marshall, T. Stansfield, I. Kostarnov, J. Vuillemin, and B. Hutchings, “A Reconfigurable Arithmetic Array for Multimedia Applications, ” in International Symposium on Field Programmable Gate Arrays, Monterey, CA, Feb. 1999, pp. 135–143.

  85. R. Bittner and P. Athanas, “Wormhole Run-time Reconfiguration, ” in International Symposium on Field Programmable Gate Arrays, Monterey, CA, Feb. 1997, pp. 79–85.

  86. S.C. Goldstein, H. Schmit, M. Moe, M. Budiu, S. Cadambi, R.R. Taylor, and R. Laufer, “PipeRench: A Coprocessor for Streaming Multimedia Acceleration, ” in Proceedings, International Symposium on Computer Architecture, Atlanta, GA, June 1999, pp. 28–39.

  87. C. Ebeling, D. Cronquist, P. Franklin, J. Secosky, and S.G. Berg, “Mapping Applications to the RaPiD Configurable Architecture, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1997, pp. 106–115.

  88. M. Leeser, R. Chapman, M. Aagaard, M. Linderman, and S. Meier, “High Level Synthesis and Generating FPGAs with the BEDROC System, ” Journal of VLSI Signal Processing, vol. 6, no. 2, 1993, pp. 191–213.

    Article  Google Scholar 

  89. A. Wenban and G. Brown, “A Software Development System for FPGA-based Data Acquisition Systems, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1996, pp. 28–37.

  90. W. Luk, N. Shirazi, and P.Y. Cheung, “Modelling and Optimising Run-Time Reconfigurable Systems, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1996, pp. 167–176.

  91. J. Burns, A. Donlin, J. Hogg, S. Singh, and M. de Wit, “A Dynamic Reconfiguration Run-Time System, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1997, pp. 66–75.

  92. P. Athanas and R. Hudson, “Using Rapid Prototyping to Teach the Design of Complete Computing Solutions, ” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, Napa, CA, April 1996.

  93. Mirotech Corporation, Mirotech Data Sheet, 1999. www.mirotech.com.

  94. D. Sylvester and K. Keutzer, “Getting to the Bottom of Deep Submicron, ” in Proceedings, International Conference on Computer-Aided Design, Oct. 1998, pp. 203–211.

  95. P. Pirsch, A. Freimann, and M. Berekovic, “Architectural Approaches for Multimedia Processors, ” in Proceedings of Multimedia Hardware Architecture, SPIE, 1997, vol. 3021, pp. 2–13.

    Google Scholar 

  96. W. Dally and J. Poulton, Digital Systems Engineering. Cambridge University Press, 1999.

  97. M. Petronino, R. Bambha, J. Carswell, and W. Burleson, “An FPGA-based Data Acquisition System for a 95 GHz W-band Radar, ” in Proceedings, International Conference on Acoustics, Speech, and Signal Processing, 1997, pp. 3037–3040.

  98. H. Zhang, V. Prabhu, V. George, M. Wan, M. Benes, A. Abnous, and J.M. Rabaey, “A 1V Heterogeneous Reconfigurable Processor IC for Baseband Wireless Applications, ” in Proceedings of the IEEE International Solid State Circuits Conference, 2000.

  99. M. Wan, H. Zhang, V. George, M. Benes, A. Abnous, V. Prabhu, and J. Rabaey, “Design Methodology of a Low-Energy Recon-figurable Single-Chip DSP System, ” Journal of VLSI Signal Processing, 2001.

  100. R. Tessier, “Adaptive Systems-on-a-Chip, ” in University of Massachusetts, Department of ECE Technical Memo, 2000. www.ecs.umass.edu/ece/tessier.

  101. K. McKinley, S.K. Singhai, G.E. Weaver, and C.C. Weems, “Compiler Architectures for Heterogeneous Processing, ” Languages and Compilers for Parallel Processing, pp. 434–449, Aug. 1995, Lecture Notes in Computer Science, vol. 1033.

    Article  Google Scholar 

  102. K. Konstantinides, “VLIW Architectures for Media Processing, ” IEEE Signal Processing Magazine, vol. 15, no. 2, March 1998, pp. 16–19.

    Article  Google Scholar 

  103. Synopsys Corporation, www.synopsys.com, 2000.

  104. J.T. Buck, S. Ha, E.A. Lee, and D.G. Messerschmitt, “Ptolemy: A Framework for Simulating and Prototyping Heterogeneous Systems, ” International Journal of Computer Simulation, vol. 4, 1994, pp. 152–184.

    Google Scholar 

  105. R. Tessier, “Incremental Compilation for Logic Emulation, ” in IEEE Tenth International Workshop on Rapid System Prototyping, Clearwater, Florida, June 1999, pp. 236–241.

  106. H. DeMan, J. Rabaey, J. Vanhoof, G. Goosens, P. Six, and L. Claesen, “CATHEDRAL-II-A Computer-Aided Synthesis System for Digital Signal Processing VLSI Systems, ” Computer-Aided Engineering Journal, vol. 5, no. 2, April 1988, pp. 55–66.

    Article  Google Scholar 

  107. M. Horowitz and R. Gonzalez, “Energy Dissipation in General Purpose Processors, ” Journal of Solid State Circuits, vol. 31, no. 9, Nov. 1996, pp. 1277–1284.

    Article  Google Scholar 

  108. V. Lakamraju and R. Tessier, “Tolerating Operational Faults in Cluster-Based FPGAs, ” in International Symposium on Field Programmable Gate Arrays, Monterey, CA, Feb. 2000, pp. 187–194.

  109. M. Goel and N.R. Shanbhag, “Dynamic Algorithm Transforms for Low-power Adaptive Equalizers, ” IEEE Transactions on Signal Processing, vol. 47, no. 10, Oct. 1999, pp. 2821–2832.

    Article  Google Scholar 

  110. M. Goel and N.R. Shanbhag, “Dynamic Algorithm Transforms (DAT): A Systematic Approach to Low-power Reconfigurable Signal Processing, ” IEEE Transactions on VLSI Systems, vol. 7, no. 4, Dec. 1999, pp. 463–476.

    Article  Google Scholar 

  111. J. Tschanz and N.R. Shanbhag, “A Low-power Reconfigurable Adaptive Equalizer Architecture, ” in Proceedings of the Asilomar Conference on Signals, Systems, and Computers, Monterey, CA, Oct. 1999.

  112. S.R. Park and W. Burleson, “Configuration Cloning: Exploiting Regularity in Dynamic DSP Architectures, ” in International Symposium on Field Programmable Gate Arrays, Monterey, CA, Feb. 1999, pp. 81–89.

  113. S. Hauck, Z. Li, and E. Schwabe, “Configuration Compression for the Xilinx XC6200 FPGA, ” in Proceedings, IEEE Symposium on Field-Programmable Custom Computing Machines, Napa, CA, April 1998, pp. 138–146.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Tessier, R., Burleson, W. Reconfigurable Computing for Digital Signal Processing: A Survey. The Journal of VLSI Signal Processing-Systems for Signal, Image, and Video Technology 28, 7–27 (2001). https://doi.org/10.1023/A:1008155020711

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1008155020711

Navigation