Skip to main content
Log in

Abstract

Distributed hypermedia systems that support collaboration are important emerging tools for creation, discovery, management and delivery of information. These systems are becoming increasingly desired and practical as other areas of information technologies advance. A framework is developed for efficiently exploring the hypermedia design space while intelligently capitalizing on tradeoffs between performance and area. We focus on a category of processors that are programmable yet optimized to a hypermedia application.

The key components of the framework presented in this paper are a retargetable instruction-level parallelism compiler, instruction level simulators, a set of complete media applications written in a high level language, and a media processor synthesis algorithm. The framework addresses the need for efficient use of silicon by exploiting the instruction-level parallelism found in media applications by compilers that target multiple-instruction-issue processors.

Using the developed framework we conduct an extensive exploration of the design space for a hypermedia application. We find that there is enough instruction-level parallelism in the typical media and communication applications to achieve highly concurrent execution when throughput requirements are high. On the other hand, when throughput requirements are low, there is little value in multiple-instruction-issue processors. Increased area does not improve performance enough to justify the use of multiple-instruction-issue processors when throughput requirements are low.

The framework introduced in this paper is valuable in making early architecture design decisions such as cache and issue width trade-off when area is constrained, and the number of branch units and instruction issue width.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. F. Kretz and F. Colaitis, “Standardizing Hypermedia Information Objects, ” IEEE Communications Magazine, 1992, pp. 60–70.

  2. T. Berners-Lee, R. Cailliau, J. Groff, and B. Pollerman, “World Wide Web: The Information Universe” Internet Research: Electronic Networking Research Application and Policy, vol. 1, no. 1, 1992, pp. 10–24.

    Google Scholar 

  3. T. Berners-Lee, R. Cailliau, A. Luotonen, H.F. Nielsen, and A. Secret, “The World Wide Web. ” Communications of ACM, vol. 37, no. 8, 1994, pp. 76–82.

    Article  Google Scholar 

  4. K. Gronbaek and R. Trigg, “Design Issues for a Dexter-Based Hypermedia System, ” Communications of ACM, vol. 37, no. 2 1994, pp. 41–49.

    Google Scholar 

  5. L. Hardman, D. Bulterman, and G. van Rossum, “The Ams-terdam Hypermedia Model: Adding Time and Context to the Dexter Model, ” Communications of ACM, vol. 37, no. 2, 1994, pp. 50–62.

    Article  Google Scholar 

  6. J. Leggett and J. Schnase, Viewing Dexter With Open Eyes, Communications of ACM, vol. 37, no. 2, 1994, pp. 77–86.

    Article  Google Scholar 

  7. V. Bush, “As We May Think. ” The Atlantic MonthlyJuly 1945.

  8. T. Nelson, “A File Structure for the Complex, the Changing and the Intermediate, ” in ACM 20th National Conference, 1965.

  9. F. Halasz, “Reflections on Note-Cards: Seven Issues for the Next Generation of Hypermedia Systems, ” Communications of ACM, vol. 31, no. 7, 1988, pp. 836–852.

    Article  Google Scholar 

  10. M. Kessler, “Distributed Hypermedia, ” in Proceedings of Southcon '951995, pp. 190–195.

  11. F. Kappe, H. Maurer, and N. Sherboken, “Hyper-G: A Universal Hypermedia Systems, ” Journal of Educational Multimedia and Hypermedia, vol. 2, no. 1, 1993, pp. 39–66.

    Google Scholar 

  12. S. Banerjia, W.A. Havanki, and T.M. Conte, “Treegion Schedul-ing for Highly Parallel Processors, ” in Euro-Par, Passau, Germany 1997, pp. 1074–1078.

  13. J.A. Fisher, “Trace Scheduling: A Technique for Global Microcode Compaction, ” IEEE Transactions on Computing, vol. C-30, 1981, pp. 478–490.

    Article  Google Scholar 

  14. P.Y. Hsu, “Highly Concurrent Scalar Processing, ” Technical Report CSG-49, Coordinated Science Laboratory, University of Illinois, Urbana-Champaign, 1986.

  15. W.M.W. Hwu, S.A. Mahlke, W.Y. Chen, P.P. Chang, N.J. Warter, R.A. Bringmann, R.G. Ouellette, R.E. Hank, T. Kiyohara, G.E. Haab, J.G. Holm, and D.M. Lavery, “The Superblock: An Effec-tive Technique for VLIWand Superscalar Compilation, ” Journal of Supercomputing, 1993.

  16. S.A. Mahlke, D.C. Lin, W.Y. Chen, R.E. Hank, and R.A. Bringmann, “Effective Compiler Support for Predicated Exe-cution Using the Hyperblock, ” in International Symposium on Microarchitecture, 1992.

  17. R.P. Colwell, R.P. Nix, J.J. O'Donnell, D.B. Papworth, and P.K. Rodman, “A VLIW Architecture for a Trace Scheduling Compiler, ” in Proceedings of ASPLOS-II, 1982, pp. 180–192.

  18. J. Turley and H. Hakkarainen, “TI's New 'C6x DSP Screams at 1,600 MIPS, ” The Microprocessor Report, vol. 11, 1997, pp. 14–17.

    Google Scholar 

  19. P. Kalapathy, “Hardware-Software Interactions on MPACT, ” IEEE Micro, vol. 17, 1997, pp. 20–26.

    Article  Google Scholar 

  20. C. Hansen, “MicroUnity's MediaProcessor Architecture, ” IEEE Micro, vol. 17, 1997, pp. 34–41.

    Google Scholar 

  21. R.B. Lee and M.D. Smith, “Media Processing: A New Design Target, ” IEEE Micro, vol. 17, 1997, pp. 6–9.

    Article  Google Scholar 

  22. A. Peleg and U. Weiser, “MMX Technology Extension to the Intel Architecture, ” IEEE Micro, vol. 16, no. 4, 1996, pp. 42–50.

    Article  Google Scholar 

  23. G. Goossens, J. Van Praet, D. Lanneer, and W. Geurts et al., “Embedded Software in Real-Time Signal Processing Systems: Design Technologies, ” Proceedings of the IEEE, vol. 85, no. 3, 1997, pp. 436–454.

    Article  Google Scholar 

  24. P.G. Paulin, C. Liem, M. Cornero, and F. Nacabal, et al., “Embedded Software in Real-Time Signal Processing Systems, ” Application and Architecture Trends. Proceedings of the IEEE, vol. 85, no. 3, 1997, pp. 419–435.

    Article  Google Scholar 

  25. P. Marwedel, “Processor-Core Based Design and Test, ” in Asia and South Pacific Design Automation Conference, 1997, pp. 499–502.

  26. G. Araujo, A. Sudarsanam, and S. Malik, “Instruction Set Design and Optimizations for Address Computation in DSP Architectures, ” in Proceedings of International Symposium on System Synthesis, 1996, pp. 102–107.

  27. C. Liem, T. May, and P. Paulin, “Instruction-Set Matching and Selection for DSP and ASIP Code Generation, ” in The European Design and Test Conference, 1994, pp. 31–37.

  28. A. Sudarsanam, and S. Malik, “Memory Bank and Register Allocation in Software Synthesis for ASIPs, ” in International Conference on Computer-Aided Design, 1995, pp. 388–392.

  29. S. Liao, S. Devadas, K. Keutzer, and S. Tjiang, “Instruction Selection Using Binate Covering for Code Size Optimization, ” in International Conference on Computer-Aided Design, 1995, pp. 393–399.

  30. R. Leupers and P. Marwedel, “Retargetable Generation of Code Selectors from HDL Processor Models, ” in European Design and Test Conference, 1997, pp. 140–144.

  31. W. Zhao and C.A. Papachristou, “An Evolution Programming Approach on Multiple Behaviors for the Design of Application Specific Programmable Processors, ” in European Design and Test Conference ED & TC 96, 1996, pp. 144–150.

  32. R. Karri, K. Kim, and M. Potkonjak, “Computer Aided Design of Fault-Tolerant Application Specific Programmable Processors, ” IEEE Transaction on Computers, vol. 49, no. 11, 2000, pp. 1255–1284.

    Article  Google Scholar 

  33. M. Potkonjak and W. H. Wolf, “Cost Optimization in ASIC Implementation of Periodic Hard Real-Time Systems Using Be-havioral Synthesis, ” in Techniques ICCAD95, International Conference on Computer-Aided Design, 1995, pp. 446–451.

  34. C. Lee, M. Potkonjak, and W.H. Wolf, “Synthesis of Hard Real-Time Application Specific Systems, ” Design Automation for Embedded Systems, vol. 4, no. 4, 1999, pp. 215–242.

    Article  Google Scholar 

  35. T. Conte and W. Mangione-Smith, “Determining Cost-Effective Multiple Issue Processor Designs” in International Conference on Computer Design, 1993.

  36. T.M. Conte, K.N.P. Menezes, and S.W. Sathaye, “A Technique to Determine Power-Efficient, High-Performance Super-scalar Processors, ” in Proceedings of the Twenty-Eighth Hawaii International Conference on System Sciencesvol. 1, 1995, pp. 324–333.

    Article  Google Scholar 

  37. J.A. Fisher, P. Faraboschi, and G. Desoli, “Custom-Fit Proces-sors: Letting Applications Define Architectures, ” in Interna-tional Symposium on Microarchitectures, Paris, France, 1996.

  38. J. Montanaro, et al., “A 160-MHz, 32-b, 0.5-W CMOS RISC Microprocessor, ” IEEE Journal of Solid-State Circuits, vol. 31, no. 11, 1996, pp. 1703–1714.

    Article  Google Scholar 

  39. D.C. Argyres, “Performance and Cost Analysis of the Execution Stage of Superscalar Microprocessors, ” Maste's Thesis, Department of Computer Science, University of Illinois, Urbana IL, 1995.

  40. M.J. Flynn, Computer Architecture: Pipelined and Parallel Processor Design, Sudbury, MA: Jones and Bartlett, 1996.

    Google Scholar 

  41. C. Lee, M. Potkonjak, and W.H. Mangione-Smith, “Media-bench: A Tool for Evaluating and Synthesizing Multimedia and Communications Systems, ” IEEE/ACM International Symposium on Microarchitectures, pp. 330–335, 1997.

  42. P.P. Chang, S.A. Mahlke, W.Y. Chen, N.J. Warter, and W.-M.W. Hwu, “IMPACT: An Architectural Framework for Multiple-Instruction-Issue Processors, ” in International Symposium on Computer Architecture, 1991.

  43. T.H. Cormen, C.E. Leiserson, and R.L. Rivest, Introduction to Algorithms, Cambridge, MA: MIT Press, 1990.

    MATH  Google Scholar 

  44. M.R. Garey and D.S. Johnson, Computers and Intractability: A Guide to the Theory of NP-Completeness, New York: W.H. Freeman and Company, 1979.

    MATH  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Lee, C., Kin, J., Potkonjak, M. et al. Exploring Hypermedia Processor Design Space. The Journal of VLSI Signal Processing-Systems for Signal, Image, and Video Technology 27, 171–186 (2001). https://doi.org/10.1023/A:1008187901411

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1008187901411

Navigation