Skip to main content
Log in

IMPACCT: Methodology and Tools for Power-Aware Embedded Systems

  • Published:
Design Automation for Embedded Systems Aims and scope Submit manuscript

Abstract

Power-aware systems are those that must exploit a widerange of power/performance trade-offs in order to adapt to the power availabilityand application requirements. They require the integration of many novel powermanagement techniques, ranging from voltage scaling to subsystem shutdown.However, those techniques do not always compose synergistically with eachother; in fact, they can combine subtractively and often yield counterintuitive,and sometimes incorrect, results in the context of a complete system. Thiscan become a serious problem as more of these power aware systems are beingdeployed in mission critical applications.

To address the problem of technique integration for power-aware embedded systems, we propose a new design tool framework called IMPACCT and the associated design methodology. The system modeling methodology includes application model for capturing timing/powerconstraints and mode dependencies at the system level. The tool performs power-awarescheduling and mode selection to ensure that all timing/power constraintsare satisfied and that all overhead is taken into account. IMPACCT then synthesizesthe implementation targeting a symmetric multiprocessor platform. Experimentalresults show that the increased dynamic range of power/performance settingsenabled a Mars rover to achieve significant acceleration while using lessenergy. More importantly, our tool correctly combines the state-of-the-arttechniques at the system level, thereby saving even experienced designersfrom many pitfalls of system-level power management.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. NASA/JPL's Mars Pathfinder Home Page.http://mars3.jpl.nasa.gov/MPF/index0.html.

  2. Benini, L., A. Bogliolo, and G. D. Micheli. A Survey of Design Techniques for System-Level Dynamic Power Management, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 8, no.3, pp. 299-316, 2000.

    Google Scholar 

  3. Benini, L., G. Paleologo, A. Bogliolo, and G. De Micheli. Policy Optimization for Dynamic Power Management, IEEE Transactions on Computer Aided Design, vol. 18, pp. 813-833, 1999.

    Google Scholar 

  4. Chandrakasan, A., S. Sheng, and R. Brodersen. Low-Power CMOS Digital Design, IEEE Journal of Solid-State Circuits, vol. 27, no.4, pp. 473-484, 1992.

    Google Scholar 

  5. Chao, L.-F., A. LaPough, and E. H.-M. Sha. Rotation Scheduling: A Loop Pipelining Algorithm, IEEE Transactions on Computer Aided Design, vol. 6, no.3, pp. 229-239, 1997.

    Google Scholar 

  6. Chung, E.-Y., L. Benini, and G. De Micheli. Dynamic Power Management Using Adaptive Learning Tree. In Proc. International Conference on Computer-Aided Design, pp. 274-279, 1999.

  7. Hong, I., D. Kirovski, G. Qi, M. Potkonjak, and M. B. Srivastava. Power Optimization of Variable Voltage Core-Based Systems. In Proc. Design Automation Conference, pp. 176-181, 1998.

  8. Hong I., D. Kirovski, G. Qu, and M. Potkonjak. Power Optimization of Variable Voltage Core-Based Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.18, no.12, pp. 1702-1714, 1999.

    Google Scholar 

  9. Hwang, C.-H. and A. Wu. A Predictive System Shutdown Method for Energy Saving of Event-Driven Computation. In Proc. 1997 Design Automation Conference, 1997.

  10. Jacome, M., G. de Veciana, and C. Akturan. Resource Constrained Dataflow Retiming Heuristics for VLIW ASIPs. In Proc. International Symposium on Hardware/Software Codesign, pp.12-16, 1999.

  11. Lalgudi, K. and M. Papaefthymiou. Fixed-Phase Retiming for Low Power Design. In Proc. International Symposium on Low Power Electronics and Design, pp. 259-264, 1996.

  12. Leiserson, C. and J. Saxe. Retiming Synchronous Circuitry, Algorithmica, vol. 6, no.1, pp. 5-35, 1990.

    Google Scholar 

  13. Li, D., P.-H. Chou, and N. Bagherzadeh. Mode Selection and Mode-Dependency Modeling for Power-Aware Embedded Systems. In Proc. Asian and South Pacific Design Automation Conference, 2002.

  14. Linden, H. Handbook of Batteries. McGraw-Hill, 1995.

  15. Liu, J., P.-H. Chou, and N. Bagherzadeh. Power-AwareTask Motion for Enhancing Dynamic Range of Embedded Systems with Renewable Energy Sources. In Proceedings of Second Workshop on Power-Aware Computing Systems, 2002.

  16. Liu, J., P.-H. Chou, N. Bagherzadeh, and F. Kurdahi. A Constraint-Based Application Model and Scheduling Techniques for Power-Aware Systems. In Proc. International Symposium on Hardware/Software Codesign, pp. 153-158, 2001a.

  17. Liu, J., P.-H. Chou, N. Bagherzadeh, and F. Kurdahi. Power-Aware Scheduling Under Timing Constraints for Mission-Critical Embedded Systems. In Proc. Design Automation Conference, pp. 840-845, 2001b.

  18. Luo, J. and N.-K. Jha. Power Conscious Joint Scheduling of Periodic Task Graphs and Aperiodic Tasks in Distributed Real-Time Embedded Systems. In Proc. International Conference on Computer-Aided Design, pp. 357-364, 2000.

  19. Luo, J. and N.-K. Jha. Battery-Aware Static Scheduling for Distributed Real-Time Embedded Systems. In Proc. Design Automation Conference, pp. 444-449, 2001.

  20. Ma, T.-L. and K. Shin. A User-Customizable Energy-Adaptive Combined Static/Dynamic Scheduler for Mobile Applications. In Proceedings 21st IEEE Real-Time Systems Symposium, pp. 227-236, 2000.

  21. Namgoong, W., M. Yu, and T. Meng. A High-Efficiency Variable-Voltage CMOS Dynamic DC-DC Switching Regulator. In IEEE International Solid-State Circuits Conference, pp. 380-381, 1997.

  22. Okuma, T., T. Ishihara, and H. Yasuura. Real-Time Task Scheduling for a Variable Voltage Processor. In Proc. International Symposium on System Synthesis, pp. 24-29, 1999.

  23. Parikh, A., M. Kandemir, N. Vijaykrishnan, and M. Irwin. Energy-Aware Instruction Scheduling. In Proc. International Conference on High Performance Computing, pp. 335-344, 2000.

  24. Pedram, M., C.-Y. Tsui, and Q. Wu. An Integrated Battery-Hardware Model for Portable Electronics. In Proc. Asian and South Pacific Design Automation Conference, pp.109-112, 1999.

  25. Qiu, Q., Q. Wu, and M. Pedram. Stochastic Modeling of a Power-Managed System Construction and Optimization. In Proc. International Symposium on Low Power Electronics and Design, pp. 194-199, 1999.

  26. Qiu, Q., Q. Wu, and M. Pedram. Dynamic Power Management of Complex Systems Using Generalized Stochastic Petri Nets. In Proc. Design Automation Conference, pp. 352-356, 2000.

  27. Quan, G. and X. S. Hu. Energy Efficient Fixed-Priority Scheduling for Real-Time Systems on Variable Voltage Processors. In Proc. Design Automation Conference, pp. 828-833, 2001.

  28. Sanchez, F. and J. Cortadella. Time-Constrained Loop Pipelining. In Proc. International Conference on Computer-Aided Design, pp. 592-596, 1995.

  29. Shin, Y. and K. Choi. Power Conscious Fixed Priority Scheduling for Hard Real-Time Systems. In Proc. Design Automation Conference, pp.134-139, 1999.

  30. Shin, Y., K. Choi, and T. Sakurai. Power Optimization of Real-Time Embedded Systems on Variable Speed Processors. In Proc. International Conference on Computer-Aided Design, pp. 365-368, 2000.

  31. Simunic, T., L. Benini, A. Acquaviva, P. Glynn, and G. De Micheli. Dynamic Voltage Scaling and Power Management for Portable Systems. In Proc. Design Automation Conference, pp. 524-529, 2001.

  32. Simunic, T., L. Benini, and G. De Micheli. Event-Driven Power Management of Portable Systems. In Proc. International Symposium on System Synthesis, pp.18-23, 1999.

  33. Sinha, A. and A. Chandrakasan. Operating System and Algorithmic Techniques for Energy Scalable Wireless Sensor Networks. In Proceedings of the 2nd International Conference on Mobile Data Management, 2001.

  34. Srivastava, M., A. Chandrakasan, and R. Brodersen. Predictive System Shutdown and Other Architectural Techniques for Energy Efficient Programmable Computation, IEEE Transactions on VLSI Systems, vol. 4, no.1, pp. 42-55, 1996.

    Google Scholar 

  35. Srivastava, M., A. Chandrakasan, and R. Brodersen. Predictive System Shutdown and Other Architectural Techniques for Energy Efficient Programmable Computation, IEEE Transactions on VLSI Systems, vol. 4, no.1, pp. 42-55, 1996b.

    Google Scholar 

  36. Wu, Q., Q. Qiu, and M. Pedram. An Interleaved Dual-Battery Power Supply for Battery-Operated Electronics. In Proc. Asian and South Pacific Design Automation Conference, pp. 387-390, 2000.

  37. Yao, F., A. Demers, and S. Shenker. A Scheduling Model for Reduced CPU Energy. In Proc. of the 36th Annual Symposium on Foundations of Computer Science, pp. 374-382, 1995.

  38. Yu, T. Z., F. Chen, and E. H.-M. Sha. Loop Scheduling Algorithms for Power Reduction. In Proc. IEEE International Conference on Acoustics, Speech and Signal Processing, pp. 3073-3076, 1998.

  39. Ziegenbein, D., K. Richter, R. Ernst, J. Teich, and L. Thiele. Representation of Process Mode Correlation for Scheduling. In Proc. International Conference on Computer-Aided Design, pp. 54-61.

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

About this article

Cite this article

Chou, P.H., Liu, J., Li, D. et al. IMPACCT: Methodology and Tools for Power-Aware Embedded Systems. Design Automation for Embedded Systems 7, 205–232 (2002). https://doi.org/10.1023/A:1019730322551

Download citation

  • Issue Date:

  • DOI: https://doi.org/10.1023/A:1019730322551

Navigation