Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Efficient implementation of distributed routing algorithms for NoCs

Efficient implementation of distributed routing algorithms for NoCs

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

Chip multiprocessors (CMPs) are gaining momentum in the high-performance computing domain. Networks-on-chip (NoCs) are key components of CMP architectures, in that they have to deal with the communication scalability challenge while meeting tight power, area and latency constraints. 2D mesh topologies are usually preferred by designers of general purpose NoCs. However, manufacturing faults may break their regularity. Moreover, resource management frameworks may require the segmentation of the network into irregular regions. Under these conditions, efficient routing becomes a challenge. Although the use of routing tables at switches is flexible, it does not scale in terms of latency and area due to its memory requirements. Logic-based distributed routing (LBDR) is proposed as a new routing method that removes the need for routing tables at all. LBDR enables the implementation of many routing algorithms on most of the practical topologies we may find in the near future in a multi-core system. From an initial topology and routing algorithm, a set of three bits per switch/output port is computed. Evaluation results show that, by using a small logic, LBDR mimics the performance of routing algorithms when implemented with routing tables, both in regular and irregular topologies. LBDR implementation in a real NoC switch is also explored, proving its smooth integration in the architecture and its negligible hardware and performance overhead.

References

    1. 1)
      • Shivakumar, P., Jouppi, N.P.: `CACTI 3.0: an integrated cache timing, power and area model', Technical Report, 2001/2, Western Research Laboratory, 2001.
    2. 2)
      • Wilton, J.E., Jouppi, N.P.: `An enhanced access and cycle time model for on-chip caches', Technical Report, 93/5, Western Research Laboratory, 1993.
    3. 3)
      • Toshiba, product guide 2003, http://www.toshiba.com/taec/components/Generic/cmosasic_tc300prodbroch.pdf, accessed November 2008.
    4. 4)
      • D. Gelernter . A DAG-based algorithm for prevention of store-and-forward deadlock in packet networks. IEEE Trans. Comput. , 10 , 709 - 715
    5. 5)
      • Circuits Multi-Projects, Multi-Project Circuits, http://cmp.imag.fr, accessed November 2008.
    6. 6)
      • J.A. Kahle , M.N. Day , H.P. Hofstee , C.R. Johns , T.R. Maeurer , D. Shippy . Introduction to the cell multiprocessor. IBM J. Res. Dev. , 589 - 604
    7. 7)
      • J. Van Leeuwen , R.B. Tan . Interval routing. Comput. J. , 4 , 298 - 307
    8. 8)
      • Sancho, J.C., Robles, A., Duato, J.: `A flexible routing schemes for networks of workstations', The 3rd Int. Symp. on High Performance Computing (ISHPC), 2000.
    9. 9)
      • Swanson, S., Michelson, K., Schwerin, A., Oskin, M.: `Wavescalar', The 36th Annual Int. Symp. Microarchitecture (MICRO-36), 2003.
    10. 10)
      • http://www.faraday-tech.com/index.html, accessed November 2008.
    11. 11)
      • D. Bertozzi , L. Benini , G. De Micheli . Error control schemes for on-chip communication links: the energy-reliability tradeoff. IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. , 6 , 818 - 831
    12. 12)
      • Taylor, M.B., Lee, W., Amarasinghe, S., Agarwal, A.: `Scalar operand networks: on-chip interconnect for ILP in partitioned architectures', The 9th Int. Symp. High-Performance Computer Architecture (HPCA), 2003.
    13. 13)
      • Ernst, D., Kim, N.S., Das, S.: `Razor: a low-power pipeline based on circuit-level timing speculation', The 36th Annual Int. Symp. Microarchitecture (MICRO-36), 2003.
    14. 14)
      • Y. Hoskote , S. Vangal , A. Singh , N. Borkar , S. Borkar . A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro Mag. , 5 , 51 - 61
    15. 15)
      • Bolotin, E., Cidon, I., Ginosar, R., Kolodny, A.: `Routing table minimization for irregular mesh NoCs', Int. Conf. Design, Automation and Test in Europe (DATE), 2007.
    16. 16)
      • Borkar, S., Cohn, R., Cox, G.: `iWarp: an integrated solution to high-speed parallel computing', Supercomputing Conf., 1988.
    17. 17)
      • Stergiou, S.: `Xpipes Lite: a synthesis oriented design flow for networks on chips', Int. Conf. Design, Automation and Test in Europe (DATE), 2005, p. 1188–1193.
    18. 18)
      • Noxim: Network-on-Chip simulator, http://noxim.sourceforge.net, accessed November 2008.
    19. 19)
      • Palesi, M., Kumar, S., Holsmark, R.: `A method for router table compression for application specific routing in mesh topology NoC architecture', Int. Conf. Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), 2006.
    20. 20)
      • Sankarakingam, K., Nagarajan, R., Liu, H.: `Exploiting ILP, TLP, and DLP using polymorphism in the TRIPS architecture', The 30th Int. Symp. Computer Architecture (ISCA), 2003.
    21. 21)
      • Flich, J., Mejía, A., López, P., Duato, J.: `Region-based routing: an efficient routing mechanism to tackle unreliable hardware in networks on chip', 1stACM/IEEE Int. Symp. Networks on Chip (ISNOC), 2007.
    22. 22)
      • Glass, C., Ni, L.: `The turn model for adaptive routing', The 19th Int. Symp. Computer Architecture (ISCA), 1992.
    23. 23)
      • Pullini, A.: `Fault tolerance overhead in network-on-chip flow control schemes', Southern Building Code Congress Int. (SBCCI), 2005, p. 224–229.
    24. 24)
      • Gilabert, F., Medardoni, S., Bertozzi, D.: `Exploring high-dimensional topologies for NOC design through an integrated analysis and synthesis framework', 2ndACM/IEEE Int. Symp. Networks-on-Chip (ISNOC), 2008, p. 107–116.
    25. 25)
      • Gómez, M.E., López, P., Duato, J.: `A memory effective routing strategy for regular interconnection networks', Int. Parallel and Distributed Processing Symp. (IPDPS), 2005.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2008.0092
Loading

Related content

content/journals/10.1049/iet-cdt.2008.0092
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address