Your browser does not support JavaScript!
http://iet.metastore.ingenta.com
1887

Enabling application-level performance guarantees in network-based systems on chip by applying dataflow analysis

Enabling application-level performance guarantees in network-based systems on chip by applying dataflow analysis

For access to this article, please select a purchase option:

Buy article PDF
£12.50
(plus tax if applicable)
Buy Knowledge Pack
10 articles for £75.00
(plus taxes if applicable)

IET members benefit from discounts to all IET publications and free access to E&T Magazine. If you are an IET member, log in to your account and the discounts will automatically be applied.

Learn more about IET membership 

Recommend Title Publication to library

You must fill out fields marked with: *

Librarian details
Name:*
Email:*
Your details
Name:*
Email:*
Department:*
Why are you recommending this title?
Select reason:
 
 
 
 
 
IET Computers & Digital Techniques — Recommend this title to your library

Thank you

Your recommendation has been sent to your librarian.

A growing number of applications, often with real-time requirements, are integrated on the same system on chip (SoC), in the form of hardware and software intellectual property (IP). To facilitate real-time applications, networks on chip (NoC) guarantee bounds on latency and throughput. These bounds, however, only extend to the network interfaces (NI), between the IP and the NoC. To give performance guarantees on the application level, the buffers in the NIs must be sufficiently large for the particular application. At the same time, it is imperative to minimise the size of the NI buffers, as they are major contributors to the area and power consumption of the NoC. Existing buffer-sizing methods use coarse-grained application models, based on linear traffic bounds or periodic producers and consumers, thus severely limiting their applicability. In this work, the authors propose to capture the behaviour of the NoC and the applications using a dataflow model. This enables one to verify the temporal behaviour and to compute buffer sizes using existing dataflow analysis techniques. The authors show what is required from the NoC architecture and demonstrate how to construct an NoC model, with multiple levels of detail. Using the proposed model, buffer sizes are determined for a range of SoC designs with a run time comparable to existing analytical methods, and results comparable to exhaustive simulation. For an application case study, where existing buffer-sizing methods are not applicable, the proposed model enables the verification of end-to-end temporal behaviour.

References

    1. 1)
      • S. Stuijk , M. Geilen , T. Basten . Throughput-buffering trade-off exploration for cyclo-static and synchronous dataflow graphs. IEEE Trans. Comput. , 10 , 1331 - 1345
    2. 2)
      • Bjerregaard, T., Sparsø, J.: `A router architecture for connection-oriented service guarantees in the MANGO clockless network-on-chip', Proc. DATE, 2005, p. 1226–1231.
    3. 3)
      • Hansson, A., Goossens, K., Rădulescu, A.: `A unified approach to constrained mapping and routing on network-on-chip architectures', Proc. CODES+ISSS, 2005.
    4. 4)
      • Rutten, M., Pol, E.-J., van Eijndhoven, J., Walters, K., Essink, G.: `Dynamic reconfiguration of streaming graphs on a heterogeneous multiprocessor architecture', IS&T/SPIE Electron. Imag., 2005, 5683.
    5. 5)
      • Stuijk, S., Basten, T., Mesman, B., Geilen, M.: `Predictable embedding of large data structures in multiprocessor networks-on-chip', Proc. Euromicro Symp. Digital System Design, 2005.
    6. 6)
      • Bjerregaard, T., Mahadevan, S., Olsen, R.G., Sparsø, J.: `An OCP compliant network adapter for GALS-based SoC design using the MANGO network-on-chip', Proc. SOC, 2005, p. 171–174.
    7. 7)
      • D. Wingard , J. Nurmi , H. Tenhunen , J. Isoaho , A. Jantsch . (2004) Socket-based design using decoupled interconnects, Interconnect-centric design for SoC and NoC.
    8. 8)
      • C. Rowen , S. Leibson . (2004) Engineering the complex SOC: fast, flexible design with configurable processors.
    9. 9)
      • O. Gangwal , A. Radulescu , K. Goossens , S. Pestana , E. Rijpkema . (2005) Building predictable systems on chip: an analysis of guaranteed communication in the Æthereal network on chip, Dynamic and robust streaming in and between connected consumer-electronics devices.
    10. 10)
      • G. Bilsen , M. Engels , R. Lauwereins , J. Peperstraete . Cyclo-static dataflow. IEEE Trans. Signal. Process. , 2 , 397 - 408
    11. 11)
      • Goossens, K., Dielissen, J., Gangwal, O.P., González Pestana, S., Rădulescu, A., Rijpkema, E.: `A design flow for application-specific networks on chip with guaranteed performance to accelerate SOC design and verification', Proc. DATE, 2005.
    12. 12)
      • Hu, J., Marculescu, R.: `Application-specific buffer space allocation for networks-on-chip router design', Proc. ICCAD, 2004.
    13. 13)
      • A. Dasdan . Experimental analysis of the fastest optimum cycle ratio and mean algorithms. ACM TODAES , 4 , 385 - 418
    14. 14)
      • O. Moreira , M. Bekooij . Self-timed scheduling analysis for real-time applications. EURASIP J. Adv. Signal Process.
    15. 15)
      • Chen, K., Malik, S., August, D.: `Retargetable static timing analysis for embedded software', Int. Symp. System Synthesis (ISSS), 2001, p. 39–44.
    16. 16)
      • K. Goossens , J. Dielissen , A. Rădulescu . The Æthereal network on chip: concepts, architectures, and implementations. IEEE Des. Test Comput. , 5 , 21 - 31
    17. 17)
      • S. Dutta , R. Jensen , A. Rieckmann . Viper: a multiprocessor SOC for advanced set-top box and digital TV systems. IEEE Des. Test Comput. , 5 , 21 - 31
    18. 18)
      • Hansson, A., Coenen, M., Goossens, K.: `Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip', Proc. DATE, 2007.
    19. 19)
      • Liang, J., Swaminathan, S., Tessier, R.: `aSOC: a scalable, single-chip communications architecture', Proc. PACT, 2000, p. 37–46.
    20. 20)
      • A. Radulescu , J. Dielissen , K. Goossens , E. Rijpkema , P. Wielage . An efficient on-chip network interface offering guaranteed services, shared-memory abstraction, and flexible network programming. IEEE Trans. CAD Int. Circuits. Syst. , 1 , 4 - 17
    21. 21)
      • N. Bambha , V. Kianzad , M. Khandelia , S. Bhattacharyya . Intermediate representations for design automation of multiprocessor dsp systems. Des. Autom. Embedded Syst. , 4 , 307 - 323
    22. 22)
      • Wiggers, M., Bekooij, M., Smit, G.: `Modelling run-time arbitration by latency-rate servers in dataflow graphs', Proc. SCOPES, 2007.
    23. 23)
      • D. Stiliadis , A. Varma . Latency-rate servers: a general model for analysis of traffic scheduling algorithms. IEEE/ACM Trans. Netw. , 5 , 611 - 624
    24. 24)
      • Hansson, A., Wiggers, M., Moonen, A., Goossens, K., Bekooij, M.: `Applying dataflow analysis to dimension buffers for guaranteed performance in networks on chip', Proc. NOCS, 2008.
    25. 25)
      • Holzenspies, P., Hurink, J., Kuper, J., Smit, G.: `Run-time spatial mapping of streaming applications to a heterogeneous multi-processor system-on-chip MPSoC', Proc. DATE, 2008.
    26. 26)
      • Wiggers, M.H., Bekooij, M.J., Smit, G.J.: `Buffer capacity computation for throughput constrained streaming applications with data-dependent inter-task communication', Proc. RTAS, 2008.
    27. 27)
      • Weber, W.-D., Chou, J., Swarbrick, I., Wingard, D.: `A quality-of-service mechanism for interconnection networks in system-on-chips', Proc. DATE, 2005.
    28. 28)
      • OCP Specification 2.2: ‘OCP International Partnership’, 2007.
    29. 29)
      • Moreira, O., Valente, F., Bekooij, M.: `Scheduling multiple independent hard-real-time jobs on a heterogeneous multiprocessor', Proc. EMSOFT, 2007.
    30. 30)
      • S. Ohbayashi , M. Yabuuchi , K. Nii . A 65-nm SoC embedded 6T-SRAM designed for manufacturability with read and write operation stabilizing circuits. IEEE J. Solid-State Circuits , 4 , 820 - 829
    31. 31)
      • G.C. Buttazo . (1977) Hard real-time computing systems: predictable scheduling algorithms and applications.
    32. 32)
      • Bekooij, M.J.G., Smit, G.J.M.: `Efficient computation of buffer capacities for cyclo-static dataflow graphs', Proc. DAC, 2007.
    33. 33)
      • AMBA AXI Protocol Specification: ‘ARM Limited’, 2003.
    34. 34)
      • Kavaldjiev, N.: `A run-time reconfigurable network-on-chip for streaming dsp applications', 2006, PhD dissertation, University of Twente.
    35. 35)
      • Wiggers, M., Bekooij, M., Jansesn, P., Smit, G.: `Efficient computation of buffer capacities for cyclo-static real-time systems with back-pressure', Proc. RTAS, 2007.
    36. 36)
      • Murali, S., De Micheli, G.: `An application-specific design methodology for STbus crossbar generation', Proc. DATE, 2005.
    37. 37)
      • Jantsch, A.: `Models of computation for networks on chip', Proc. ACSD, 2006.
    38. 38)
      • Moonen, A.: `Modelling and simulation of guaranteed throughput channels of a hard real-time multiprocessor system', 2004, Master's, Eindhoven University of Technology.
    39. 39)
      • Coenen, M., Murali, S., Rădulescu, A., Goossens, K., De Micheli, G.: `A buffer-sizing algorithm for networks on chip using TDMA and credit-based end-to-end flow control', Proc. CODES+ISSS, 2006.
    40. 40)
      • S. Sriram , S. Bhattacharyya . (2000) Embedded multiprocessors: scheduling and synchronization.
http://iet.metastore.ingenta.com/content/journals/10.1049/iet-cdt.2008.0093
Loading

Related content

content/journals/10.1049/iet-cdt.2008.0093
pub_keyword,iet_inspecKeyword,pub_concept
6
6
Loading
This is a required field
Please enter a valid email address