Design Flow for Active Interposer-Based 2.5-D ICs and Study of RISC-V Architecture With Secure NoC | IEEE Journals & Magazine | IEEE Xplore