16 October 2014 Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography
Vikram Singh, Vardhineedi Sri Venkata Satyanarayana, Nikola Batina, Israel Morales Reyes, Satinder K. Sharma, Felipe Kessler, Francine R. Scheffer, Daniel E. Weibel, Subrata Ghosh, Kenneth E. Gonsalves
Author Affiliations +
Abstract
Although extreme ultraviolet (EUV) lithography is being considered as one of the most promising next-generation lithography techniques for patterning sub-20 nm features, the development of suitable EUV resists remains one of the main challenges confronting the semiconductor industry. The goal is to achieve sub-20 nm line patterns having low line edge roughness (LER) of <1.8  nm and a sensitivity of 5 to 20  mJ/cm2. The present work demonstrates the lithographic performance of two nonchemically amplified (n-CARs) negative photoresists, MAPDST homopolymer and MAPDST-MMA copolymer, prepared from suitable monomers containing the radiation sensitive sulfonium functionality. Investigations into the effect of several process parameters are reported. These include spinning conditions to obtain film thicknesses <50  nm, baking regimes, exposure conditions, and the resulting surface topographies. The effect of these protocols on sensitivity, contrast, and resolution has been assessed for the optimization of 20 nm features and the corresponding LER/line width roughness. These n-CARs have also been found to possess high etch resistance. The etch durability of MAPDST homopolymer and MAPDST-MMA copolymer (under SF6 plasma chemistry) with respect to the silicon substrate are 7.2∶1 and 8.3∶1, respectively. This methodical investigation will provide guidance in designing new resist materials with improved efficiency for EUVL through polymer microstructure engineering.
© 2014 Society of Photo-Optical Instrumentation Engineers (SPIE) 0091-3286/2014/$25.00 © 2014 SPIE
Vikram Singh, Vardhineedi Sri Venkata Satyanarayana, Nikola Batina, Israel Morales Reyes, Satinder K. Sharma, Felipe Kessler, Francine R. Scheffer, Daniel E. Weibel, Subrata Ghosh, and Kenneth E. Gonsalves "Performance evaluation of nonchemically amplified negative tone photoresists for e-beam and EUV lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 13(4), 043002 (16 October 2014). https://doi.org/10.1117/1.JMM.13.4.043002
Published: 16 October 2014
Lens.org Logo
CITATIONS
Cited by 22 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Polymers

Photoresist materials

Electron beam lithography

Etching

Extreme ultraviolet

Line edge roughness

Back to Top