Paper
17 December 2003 Optical critical dimension (OCD) measurments for profile monitoring and control: applications for mask inspection and fabrication
Ray J. Hoobler, Ebru Apak
Author Affiliations +
Abstract
Optical Critical Dimension (OCD) measurements using Normal-Incidence Spectroscopic Ellipsometry (polarized reflectance) allow for the separation of transverse electric and transverse magnetic modes of light reflected from an anisotropic sample as found in a periodic grating structure. This can provide the means for determining linewidths and analyzing complex profiles for a variety of structures found in mask fabrication. The normal-incidence spectroscopic ellipsometer maintains much of the simplicity in mechanical design found in a standard reflectometer and the additional polarizing element has no effect on the footprint making the system amenable for integration, inline monitoring and advanced process control. The rigourous coupled wave analysis (RCWA) method provides an exact method for calculating the diffraction of electromagnetic waves by periodic grating structures. We have extended OCD technology to critical measurement points in the mask fabrication process: After development inspection (ADI), where OCD evaluates mask writer performance and after etch inspection (AEI) for monitoring and control of etched quartz structures for phase shift applications. The determination of important, critical dimensions via optical techniques is appealing for several reasons: the method is non-destructive to photoresist and the sample is not subject to charging effects; the technique is capable of measuring the critical dimensions of grating structures down to approximately 40 nm; minimal facilities are required for installation (no high vacuum, cooling or shielding of electromagnetic fields); like optical thin film metrology, OCD technology can be integrated into process tools enabling Advanced Process Control (APC) of the etch process. Results will be presented showing the capabilities of OCD metrology for ADI and AEI applications. Comparisons will be made with both CD-SEM and X-SEM and the application to monitoring/controlling the quartz etch process will be discussed.
© (2003) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ray J. Hoobler and Ebru Apak "Optical critical dimension (OCD) measurments for profile monitoring and control: applications for mask inspection and fabrication", Proc. SPIE 5256, 23rd Annual BACUS Symposium on Photomask Technology, (17 December 2003); https://doi.org/10.1117/12.517931
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications and 3 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Reflectivity

Photomasks

Photoresist materials

Inspection

Critical dimension metrology

Process control

Back to Top