Paper
27 May 2009 Mask contribution on CD and OVL errors budgets for double patterning lithography
Author Affiliations +
Proceedings Volume 7470, 25th European Mask and Lithography Conference; 747009 (2009) https://doi.org/10.1117/12.835171
Event: 25th European Mask and Lithography Conference, 2009, Dresden, Germany
Abstract
Double Patterning Technology (DPT) is now considered as the mainstream technology for 32 nm node lithography. The main DPT processes have been developed according targeted applications: spacer and pitch splitting either by dual line or dual trench approaches. However, the successful implementation of DPT requires overcoming certain technical challenges in terms of exposure tool capability, process integration, mask performance and finally metrology (1, 2). For pitch splitting process, the mask performance becomes critical as the technique requires a set of two masks (3). This paper will focus on the mask impact to the global critical dimension (CD) and overlay (OVL) errors for DPT. The mask long-distance and local off target CD variation and image placement were determined on DP features at 180 nm and 128 nm pitches, dedicated to 45 nm and 32 nm nodes respectively. The mask data were then compared to the wafer CD and OVL results achieved on same DP patterns. Edge placement errors have been programmed on DP like-structures on reticle in order to investigate the offsets impact on CD and image placement. The CD lines increases with asymmetric spaces adjacent to the drawn lines for offsets higher than 12 nm, and then have been compared to the corresponding density induced by individual dense and sparse symmetric edges and have been correlated to the simulated prediction. The single reticle trans-X offsets were then compared to the impact on CD by OVL errors in the double patterning strategy. Finally, the pellicle-induced reticle distortions impact on image placement errors was investigated (4). The mechanical performance of pellicle was achieved by mask registration measurements before and after pellicle removal. The reticle contribution to the overall wafer CD and OVL errors budgets were addressed to meet the ITRS requirements.
© (2009) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
I. Servin, C. Lapeyre, S. Barnola, B. Connolly, R. Ploss, K. Nakagawa, P. Buck, and M. McCallum "Mask contribution on CD and OVL errors budgets for double patterning lithography", Proc. SPIE 7470, 25th European Mask and Lithography Conference, 747009 (27 May 2009); https://doi.org/10.1117/12.835171
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications and 3 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Semiconducting wafers

Critical dimension metrology

Reticles

Double patterning technology

Pellicles

Lithography

Back to Top