skip to main content
10.1145/1123008.1123038acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
Article

Variation tolerant buffered clock network synthesis with cross links

Published:09 April 2006Publication History

ABSTRACT

Clock network synthesis is a key step in the ultra deep sub-micron (UDSM) VLSI Designs. Most existing clock network synthesis algorithms are designed for nominal operating condition, which are insufficient to address the growing problem of process, voltage and temperature (PVT) fluctuations. Link based clock networks have been suggested as a possible way of reducing skew variability [1-3]. However, [1,2] deal with only unbuffered clock networks, making them impractical. In [3], the problem of constructing a link based buffered clock network has been addressed . But [3] requires special kind of tunable buffers, which might consume more area/power and might not be available for all designs. Also, [3] uses SPICE for tuning the locations of internal nodes and buffer delays, thereby making it slow even for clock networks with a few hundred sinks. In this paper, we propose a unified algorithm for synthesizing a variation tolerant, balanced buffered clock network with cross links. Our approach can make use of ordinary buffers and does not require SPICE for clock network synthesis. SPICE based Monte Carlo simulations show that our methodology results in a buffered clock network with 50% reduction in skew variability with minimal increase in wire-length, buffer area and CPU time.

References

  1. A. Rajaram, J. Hu, and R. Mahapatra, "Reducing clock skew variability via cross links," in Proceedings of the ACM/IEEE DAC, San Diego, CA, June 2004, pages 18--23. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. Rajaram, D. Z. Pan, and J. Hu, "Improved Algorithms for Link-Based Non-Tree Clock Networks for Skew Variability Reduction," in Proceedings of the ISPD, San Francisco, CA, April 2005, pages 55--62. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. G. Venkataraman, N. Jayakumar, J. Hu, P. Li, S. Khatri, A. Rajaram, P. McGuinness, and C. Alpert, "Practical Techniques for Minimizing Skew and Its Variation in Buffered Clock Networks," in Proc. of the ICCAD, San Jose, CA, pages 592-596, November 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. E. G. Friedman, "Clock distribution networks in synchronous digital integrated circuits," in Proceedings of the IEEE, vol. 89, no.5, pp.665--692, May 2001.Google ScholarGoogle ScholarCross RefCross Ref
  5. R. Saleh, S. Z. Hussain, S. Rochel, and D. Overhauser, "Clock skew verification in the presence of IR-drop in the power distribution network," in IEEE Transactions on CAD, vol.19, no.6, pp.635--644, June 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. W.-C. D. Lam, C.-K. Koh, and C.-W. A. Tsao, "Power supply noise suppression via clock skew scheduling," in Proceedings of the IEEE ISQED, San Jose, CA, March 2002, pp. 355--360. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. R.-S. Tsay, "Exact zero skew," in Proceedings of the IEEE/ACM ICCAD, Santa Clara, CA, November 1991, pp. 336--339.Google ScholarGoogle Scholar
  8. T.-H. Chao, Y.-C. Hsu, J.-M. Ho, K. D. Boese, and A. B. Kahng, "Zero skew clock routing with minimum wire-length," in IEEE Transactions on CS-ADSP, vol.39, no.11, pp.799--814, November 1992.Google ScholarGoogle Scholar
  9. Y. P. Chen, and D.F. Wong, "An algorithm for zero-skew clock tree routing with buffer insertion," in Proceedings of the ED & TC, Pairs, France, March 1996, pp. 230--236. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. S. Pullela, N. Menezes, and L. T. Pillage, "Low power IC clock tree design," in Proceedings of the CICC, May 1995, pp.263--266.Google ScholarGoogle Scholar
  11. J. Chung and C.K. Cheng, "Optimal Buffered Clock Tree Synthesis," in IEEE ASIC conference, Austin, TX, Sept. 1994, pp. 130--133.Google ScholarGoogle Scholar
  12. A. Vittal, and M. Marek-Sadowska, "Low-power buffered clock tree design," in IEEE Transactions on CAD, vol. 16, no. 9, pp. 965--975, Sept. 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. G. E. Tellez, and M. Sarrafzadeh, "Minimal buffer insertion in clock trees with skew and slew rate constraints" in IEEE Transactions of CAD, vol. 16, no.4, pp.333--342, April 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A. D. Mehta, Y. P. Chen, N. Menezes, D. F. Wong, and L. T. Pilegg, "Clustering and load balancing for buffered clock tree synthesis" in Proceedings of the ICCD, Austin, Tx, October 1997, pp. 217--223. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Tai. Yan, C. W. Wu, K. P. Lin, Y. C. Lee, and T. Y. Wang, "Iterative convergence of optimal wire sizing and available buffer insertion for zero skew clock tree optimization" in Proceedings of Asia-Pacific Conference, December 2004, pp.529--532.Google ScholarGoogle Scholar
  16. J. L. Tsai, T. H. Chen, and C. C. P. Chen, "Zero skew clock-tree optimization with buffer insertion/sizing and wire sizing" in IEEE Transactions of CAD, vol. 23, no. 4, pp.565--572, April 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. R. Chaturvedi, and J. Hu, "Buffered clock tree for high quality IC design" in Proceedings of the ISQED, March 2004. pp. 381--386. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. K. Wang, and M. Marek-Sadowska, "Clock network sizing via sequential linear programming with time-domain analysis" in Proceedings of the ISPD, Monterey, CA, April 2003, pp. 182--189. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. R. Puri , D. S. Kung, and A. D. Drumm, "Fast and accurate wire delay estimation for physical synthesis of large ASICs" in Proceedings of the GLSVLSI, New York, NY, April 2002, pp. 30--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. S. R. Nassif, "Modeling and analysis of manufacturing variations," in Proceedings of the IEEE CICC, San Diego, CA, May 2001, pp. 223--228.Google ScholarGoogle Scholar

Index Terms

  1. Variation tolerant buffered clock network synthesis with cross links

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISPD '06: Proceedings of the 2006 international symposium on Physical design
      April 2006
      232 pages
      ISBN:1595932992
      DOI:10.1145/1123008

      Copyright © 2006 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 9 April 2006

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate62of172submissions,36%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader