skip to main content
article

Compilers for leakage power reduction

Published:01 January 2006Publication History
Skip Abstract Section

Abstract

Power leakage constitutes an increasing fraction of the total power consumption in modern semiconductor technologies. Recent research efforts indicate that architectures, compilers, and software can be optimized so as to reduce the switching power (also known as dynamic power) in microprocessors. This has lead to interest in using architecture and compiler optimization to reduce leakage power (also known as static power) in microprocessors. In this article, we investigate compiler-analysis techniques that are related to reducing leakage power. The architecture model in our design is a system with an instruction set to support the control of power gating at the component level. Our compiler provides an analysis framework for utilizing instructions to reduce the leakage power. We present a framework for analyzing data flow for estimating the component activities at fixed points of programs whilst considering pipeline architectures. We also provide equations that can be used by the compiler to determine whether employing power-gating instructions in given program blocks will reduce the total energy requirements. As the duration of power gating on components when executing given program routines is related to the number and complexity of program branches, we propose a set of scheduling policies and evaluate their effectiveness. We performed experiments by incorporating our compiler analysis and scheduling policies into SUIF compiler tools and by simulating the energy consumptions on Wattch toolkits. The experimental results demonstrate that our mechanisms are effective in reducing leakage power in microprocessors.

References

  1. Aburto, A., Sill, D., and Thompson, D. 1997. comp.benchmarks FAQ. Computer Sciences Department, University of Wisconsin, http://www.cs.wisc.edu/~thomas/comp.benchmarks.FAQ.html.Google ScholarGoogle Scholar
  2. Aho, A. V., Sethi, R., and Ullman, J. D. 1986. Compilers: Principles, Techniques, and Tools. Addison-Wesley, Reading, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Alidina, M., Monteiro, J., Devadas, S., Ghosh, A., and Papaefthymiou, M. 1994. Precomputation-based sequential logic optimization for low power. IEEE Trans. VLSI Syst. 2, 4 (Dec.), 426--436. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Bellas, N., Hajj, I. N., and Polychronopoulos, C. D. 2000. Architectural and compiler techniques for energy reduction in high-performance microprocessors. IEEE Trans. VLSI Syst. 8, 3 (June), 317--326. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Benini, L. and Micheli, G. D. 1995. State assignment for low power dissipation. IEEE J. Solid State Circ. 30, 3 (Mar.), 258--268.Google ScholarGoogle ScholarCross RefCross Ref
  6. Brooks, D., Tiwari, V., and Martonosi, M. 2000. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the International Symposium on Computer Architecture (Vancouver, B. C. Canada). 83--94. Google ScholarGoogle Scholar
  7. Butts, J. A. and Sohi, G. S. 2000. A static power model for architects. In Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (Monterey, CA). ACM, New York, 191--201. Google ScholarGoogle Scholar
  8. Chandrakasan, A., Sheng, S., and Brodersen, R. 1992. Low-power CMOS digital design. IEEE J. Solid-State Circ. 27, 4 (Apr.), 473--484.Google ScholarGoogle ScholarCross RefCross Ref
  9. Chang, J.-M. and Pedram, M. 1995. Register allocation and binding for low power. In Proceedings of the Design Automaton Conference (San Francisco, CA). 29--35. Google ScholarGoogle Scholar
  10. Chang, R.-G., Chuang, T.-R., and Lee, J.-K. 1998. Efficient support of parallel sparse computation for array intrinsic functions of Fortran 90. In Proceedings of the ACM International Conference on Supercomputing (Melbourne, Australia). ACM, New York, 13--17. Google ScholarGoogle Scholar
  11. Chang, R.-G., Li, J.-S., Chuang, T.-R., and Lee, J. K. 2001. Probabilistic inference schemes for sparsity structures of Fortran 90 array intrinsics. In Proceedings of the International Conference on Parallel Processing (Valencia, Spain). 61--68. Google ScholarGoogle Scholar
  12. Chen, P.-S., Hwang, Y.-S., Ju, R. D.-C., and Lee, J. K. 2004. Interprocedural probabilistic pointer analysis. IEEE Trans. Paral. Distrib. Syst. 15, 10 (Oct.), 893--907. Google ScholarGoogle Scholar
  13. Compaq Computer Corporation. 1999. Alpha 21264 Microprocessor Hardware Reference Manual.Google ScholarGoogle Scholar
  14. De, V. and Borkar, S. 1999. Technology and design challenges for low power and high performance. In Proceedings of the International Symposium on Low Power Electronics and Design (San Diego, CA). 163--168. Google ScholarGoogle Scholar
  15. Doyle, B., Arghavani, R., Barlage, D., Datta, S., Doczy, M., Kavalieros, J., Murthy, A., and Chau, R. 2002. Transistor elements for 30nm physical gate lengths and beyond. Intel Tech. J. 6, 2 (May), 42--54.Google ScholarGoogle Scholar
  16. Hachtel, G., Hermida, M., A. Pardo, M. P., and Somenzi, F. 1994. Re-encoding sequential circuits to reduce power dissipation. In Proceedings of the International Conference on Computer-Aided Design (San Jose, CA). 70--73. Google ScholarGoogle Scholar
  17. Hong, I., Kirovski, D., Qu, G., Potkonjak, M., and Srivastava, M. B. 1999. Power optimization of variable voltage core-based systems. IEEE Trans. Computer-Aided Des. Integ. Circ. Syst. 18, 12 (Dec.), 1702--1714. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Horowitz, M., Indermaur, T., and Gonzalez, R. 1994. Low-power digital design. In Proceedings of the IEEE Symposium on Low Power Electronics. IEEE Computer Society Press, Los Alamitos, CA, 8--11.Google ScholarGoogle Scholar
  19. Hwang, G.-H., Lee, J. K., and Ju, R. D.-C. 1998. A function-composition approach to synthesize Fortran 90 array operations. J. Paral. Distr. Comput. 54, 1 (Oct.), 1--47. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Hwang, Y.-S., Chen, P.-S., Lee, J.-K., and Ju, R. 2003. Probabilistic points-to analysis. Lecture Notes in Computer Science, Languages and Compilers for Parallel Computing (LCPC). vol. 2624. Springer-Verlag, New York, 290--305.Google ScholarGoogle Scholar
  21. Kao, J. T. and Chandrakasan, A. P. 2000. Dual-threshold voltage techniques for low-power digital circuits. IEEE J. Solid-State Circ. 35, 7 (July), 1009--1018.Google ScholarGoogle ScholarCross RefCross Ref
  22. Kaxiras, S., Hu, Z., and Martonosi, M. 2001. Cache decay: Exploiting generational behavior to reduce cache leakage power. In Proceedings of the International Symposium on Computer Architecture (Gothenburg, Sweden). 240--251. Google ScholarGoogle Scholar
  23. Lee, C., Lee, J. K., Hwang, T.-T., and Tsai, S.-C. 2003. Compiler optimization on VLIW instruction scheduling for low power. ACM Trans. Des. Automat. Electron. Syst. 8, 2 (April), 252--268. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Lee, M. T.-C., Tiwari, V., Malik, S., and Fujita, M. 1997. Power analysis and minimization techniques for embedded DSP software. IEEE Trans. VLSI Syst. 5, 1 (Mar.), 123--133. Google ScholarGoogle Scholar
  25. Powell, M., Yang, S.-H., Falsa, B., Roy, K., and Vijaykumar, T. 2000. Gated-Vdd: A circuit technique to reduce leakage in deep-submicron cache memories. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (Rapallo, Italy). ACM, New York, 90--95. Google ScholarGoogle Scholar
  26. Prasad, S. C. and Roy, K. 1993. Circuit activity driven multilevel logic optimization for low power reliable operation. In Proceedings of the EDAC'93 EURO-ASIC (Paris, France). 368--372.Google ScholarGoogle Scholar
  27. Rele, S., Pande, S., Onder, S., and Gupta, R. 2002. Optimizing static power dissipation by functional units in superscalar processors. In Proceedings of the 11th International Conference on Compiler Construction. 261--275. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Roy, K. 1998. Leakage power reduction in low-voltage CMOS designs. In Proceedings of the IEEE International Conference on Electronics, Circuits and Systems (Lisbon, Portugal). IEEE Computer Society Press, Los Alamitos, CA, 167--173.Google ScholarGoogle Scholar
  29. Roy, K. and Prasad, S. C. 1992. SYCLOP: Synthesis of CMOS logic for low power applications. In Proceedings of the IEEE International Conference on Computer Design (Cambridge, MA). IEEE Computer Society Press, Los Alamitos, CA, 464--467. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Smith, M. D. 1998. The SUIF Machine Library. Division of of Engineering and Applied Science, Harvard University.Google ScholarGoogle Scholar
  31. Stanford Compiler Group. 1995. The SUIF Library. Stanford Compiler Group, Stanford Univ. Stanford, CA.Google ScholarGoogle Scholar
  32. Su, C.-L. and Despain, A. M. 1995. Cache designs for energy efficiency. In Proceedings of the 28th Annual Hawaii International Conference on System Sciences (Los Angeles, CA). 306--315. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Thompson, S., Packan, P., and Bohr, M. 1998. MOS scaling: Transistor challenges for the 21st century. Intel Tech. J. Q3.Google ScholarGoogle Scholar
  34. Tiwari, V., Donnelly, R., Malik, S., and Gonzalez, R. 1997. Dynamic power management for microprocessors: A case study. In Proceedings of the International Conference on VLSI Design (Hyderabad, India). 185--192. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Tiwari, V., Singh, D., Rajgopal, S., Mehta, G., Patel, R., and Baez, F. 1998. Reducing power in high-performance microprocessors. In Proceedings of the Design Automaton Conference (San Francisco, CA). 732--737. Google ScholarGoogle Scholar
  36. Tsui, C., Pedram, M., and Despain, A. 1993. Technology decomposition and mapping targeting low power dissipation. In Proceedings of the Design Automation Conference (Dallas, TX). 68--73. Google ScholarGoogle Scholar
  37. You, Y.-P., Lee, C.-R., and Lee, J.-K. 2002. Compiler optimization for low power on power gating. Lecture Notes in Computer Science, Languages and Compilers for Parallel Computing (LCPC), vol. 2481. Springer-Verlag, New York, 45--60.Google ScholarGoogle Scholar
  38. You, Y.-P., Lee, C.-R., Lee, J.-K., and Shih, W.-K. 2001. Real-time task scheduling for dynamically variable voltage processors. In Proceedings of the IEEE Workshop on Power Management for Real-Time and Embedded Systems (Taipei, Taiwan). IEEE Computer Society Press, Los Alamitos, CA, 5--10.Google ScholarGoogle Scholar

Index Terms

  1. Compilers for leakage power reduction

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 11, Issue 1
      January 2006
      250 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/1124713
      Issue’s Table of Contents

      Copyright © 2006 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 1 January 2006
      Published in todaes Volume 11, Issue 1

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • article

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader