skip to main content
10.1145/1165573.1165613acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

An efficient chip-level time slack allocation algorithm for Dual-Vdd FPGA power reduction

Published:04 October 2006Publication History

ABSTRACT

To reduce FPGA power, a linear programming (LP) based time slack allocation algorithm, EdTLC-LP, has been proposed recently for Vdd-programmable interconnects without using Vdd-level converters for mixed wire lengths. However, it takes a long time to solve the LP problem for time slack allocation. In this paper, we develop EdTLC-NW, a slack allocation algorithm based on min-cost network flow to reduce runtime. Compared to single Vdd FPGA with power-gating, EdTLC-LP and EdTLC-NW reduce interconnect power by 52.71% and 52.52%, respectively. EdTLC-NW achieves as good results as EdTLC-LP but runs 8X faster on average. Furthermore, the speedup increases for larger circuits and EdTLC-NW is 20X faster for the largest circuit.

References

  1. K. Poon, A. Yan, and S. Wilton, "A flexible power model for FPGAs," in Proc. of 12th International conference on Field-Programmable Logic and Applications, Sep 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. F. Li, D. Chen, L. He, and J. Cong, "Architecture evaluation for power-efficient FPGAs," in Proc. ACM Intl. Symp. Field-Programmable Gate Arrays, Feb 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. J. Lamoureux and S. J. Wilton, "On the interaction between power-aware FPGA CAD algorithms," in Proc. Intl. Conf. Computer-Aided Design, pp. 701--708, November 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. J. H. Anderson, F. N. Najm, and T. Tuan, "Active leakage power optimization for FPGAs," in Proc. ACM Intl. Symp. Field-Programmable Gate Arrays, Februray 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. F. Li, Y. Lin, L. He, and J. Cong, "Low-power FPGA using pre-defined dual-vdd/dual-vt fabrics," in Proc. ACM Intl. Symp. Field-Programmable Gate Arrays, Februray 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. F. Li, Y. Lin, and L. He, "FPGA power reduction using configurable dual-vdd," in Proc. Design Automation Conf., June 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. Gayasen, K. Lee, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and T. Tuan, "A dual-vdd low power FPGA architecture," in Proc. Intl. Conf. Field-Programmable Logic and its Application, August 2004.Google ScholarGoogle ScholarCross RefCross Ref
  8. Fei Li and Yan Lin and Lei He, "Vdd programmability to reduce FPGA interconnect power," in Proc. Intl. Conf. Computer-Aided Design, November 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Jason H. Anderson and Farid N. Najm, "Low-power programmable routing circuitry for FPGAs," in Proc. Intl. Conf. Computer-Aided Design, November 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Y. Lin and L. He, "Leakage efficient chip-level dual-vdd assignment with time slack allocation for FPGA power reduction," in Proc. Design Automation Conf., June 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Y. Lin, F. Li, and L. He, "Power modeling and architecture evaluation for FPGA with novel circuits for vdd programmability," in Proc. ACM Intl. Symp. Field-Programmable Gate Arrays, Februray 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Yu Hu, Yan Lin, L. He and T. Tuan, "Simultaneous time slack budgeting and retiming for dual-vdd fpga power reduction," in Proc. Design Automation Conf., July 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. V. Betz, J. Rose, and A. Marquardt, Architecture and CAD for Deep-Submicron FPGAs. Kluwer Academic Publishers, Feb 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. S. C. Soheil~Ghiasi, Elaheh~Bozorgzadeh and M. Sarrafzadeh, "A unified theory of timing budget management," in Proc. Intl. Conf. Computer-Aided Design, November 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. A. V. Goldberg, "An efficient implentation of a scaling minimum-cost flow algorithm," Journal of Algorithms, vol. 22, pp. 1--29, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. C. L. R. Rivest, T. Cormen, An Introduction to Algorithms. MIT Press, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Yang, "Logic synthesis and optimization benchmarks, version 3.0," tech. rep., Microelectronics Center of North Carolina (MCNC), 1991.Google ScholarGoogle Scholar
  18. D. Lewis and et al, "The stratix routing and logic architecture," in Proc. ACM Intl. Symp. Field-Programmable Gate Arrays, Feb 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. M Berkelaar, lp-solver: a public domain (MI)LP solver. ftp://ftp.ics.ele.tue.nl/pub/lp_solve/.Google ScholarGoogle Scholar
  20. "Xilinx product datasheets," in http://www.xilinx.com/literature.Google ScholarGoogle Scholar

Index Terms

  1. An efficient chip-level time slack allocation algorithm for Dual-Vdd FPGA power reduction

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '06: Proceedings of the 2006 international symposium on Low power electronics and design
      October 2006
      446 pages
      ISBN:1595934626
      DOI:10.1145/1165573

      Copyright © 2006 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 4 October 2006

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader