skip to main content
article

Clock skew scheduling with race conditions considered

Published:01 September 2007Publication History
Skip Abstract Section

Abstract

In this article, we provide a fresh viewpoint to the interactions between clock skew scheduling and delay insertion. A race-condition-aware (RCA) clock skew scheduling is proposed to determine the clock skew schedule by taking race conditions (i.e., hold violations) into account. Our objective is not only to optimize the clock period, but also to minimize heuristically the required inserted delay. Compared with previous work, our major contribution includes the following two aspects. First, our approach achieves exactly the same results, but has significant improvement in time complexity. Second, our viewpoint can be generalized to other sequential timing optimization techniques.

References

  1. Albrecht, C., Korte, B., Schietke, J., and Vygen, J. 1999. Cycle time and slack optimization for VLSI chips. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (San Jose, CA) 232--238. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Burns, S. M. 1991. Performance analysis and optimization of asynchronous circuits. Ph.D. thesis, California Institute of Technology, Pasadena, California. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Cormen, T. H., Leiserson, C. E., and Rivest, R. L. 1990. Introduction to Algorithms. McGraw-Hill, New York. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Deokar, R. B. and Sapatnekar, S. S. 1994. A graph-theoretic approach to clock skew optimization. In Proceedings of the IEEE International Symposium on Circuits and Systems 1 (London), 407--410.Google ScholarGoogle Scholar
  5. Fishburn, J. P. 1992. LATTIS: An iterative speedup heuristic for mapped logic. In Proceedings of the IEEE/ACM Design Automation Conference (Anaheim, CA), 488--491. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Fishburn, J. P. 1990a. A depth-decreasing heuristic for combinational logic. In Proceedings of the IEEE/ACM Design Automation Conference (Orlando, FL), 361--364. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Fishburn, J. P. 1990b. Clock skew optimization. IEEE Trans. Comput. 39, 7 (Jul.), 945--951. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Huang, S. H. and Nieh, Y. T. 2006. Synthesis of nonzero clock skew circuits. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 25, 6 (Jun.), 961--976. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Huang, S. H. and Nieh, Y. T. 2003. Clock period minimization of non-zero clock skew circuits. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (San Jose, CA), 809--812. Google ScholarGoogle ScholarCross RefCross Ref
  10. Kourtev, I. S. and Friedman, E. G. 2000. Timing Optimization through Clock Skew Scheduling. Kluwer Academic. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Leiserson, C. E. and Saxe, J. B. 1991. Retiming synchronous circuitry. Algorithmica 6, 1 (Jan.), 5--35.Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Liu, X., Papaefthymiou, M. C., and Friedman, E. G. 2002. Retiming and clock scheduling for digital circuit optimization. IEEE Trans. Comput.-Aided Des. Integ. Circ. Syst. 21, 2 (Feb.), 184--203. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Maheshwari, N. and Sapatnekar, S. S. 1999. Timing Analysis and Optimization of Sequential Circuits. Kluwer Academic. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Maheshwari, N. and Sapatnekar, S. S. 1998. Efficient retiming of large circuits.IEEE Trans. Very Large Scale Integ. Syst. 6, 1 (Mar.), 74--83. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Neves, J. L. and Friedman, E. G. 1996. Optimal clock skew scheduling tolerant to process variation. In Proceedings of the IEEE/ACM Design Automation Conference (Las Vegas, NV), 623--628. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Papaefthymiou, M. C. 1998. Asymptotically efficient retiming under setup and hold constraints. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (San Jose, CA), 288--295. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Papaefthymiou, M. C. 1994. Understanding retiming through maximum average-delay cycles, Math. Syst. Theory 27, 1 (Jan.), 65--84. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Shenoy, N. V., Brayton, R. K., and Sangiovanni-Vincentelli, A. L. 1993. Minimum padding to satisfy short path constraints. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (Santa Clara, CA), 156--161. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Sundararajan, V., Sapatnekar, S. S., and Parhi, K. K. 1999. MARSH: Min-Area retiming with setup and hold constraints. In Proceedings of the IEEE/ACM International Conference on Computer Aided Design (San Jose, CA), 2--6. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Clock skew scheduling with race conditions considered

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Article Metrics

      • Downloads (Last 12 months)4
      • Downloads (Last 6 weeks)2

      Other Metrics

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader