skip to main content
10.1145/1289816.1289823acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

A framework for rapid system-level exploration, synthesis, and programming of multimedia MP-SoCs

Published:30 September 2007Publication History

ABSTRACT

In this paper, we present the Daedalus framework, which allows for traversing the path from sequential application specification to a working MP-SoC prototype in FPGA technology with the (parallelized) application mapped onto it in only a matter of hours. During this traversal, which offers a high degree of automation, guidance is provided by Daedalus' integrated system-level design space exploration environment. We show that Daedalus offers remarkable potentials for quickly experimenting with different MP-SoC architectures and exploring system-level design options during the very early stages of design. Using a case study with a Motion-JPEG encoder application, we illustrate Daedalus' design steps and demonstrate its efficiency.

References

  1. A. Cassidy, J. Paul, and D. Thomas. Layered, multi-threaded, high-level performance design. In Proc. of the Design, Automation and Test in Europe, March 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. D. Lyonnard et al. Automatic Generation of Application-Specific Architectures for Heterogeneous Multiprocessor System-on-Chip. In Proc. of the Design Automation Conference (DAC'2001), June 18-22 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. F. Balarin et al. Metropolis: An integrated electronic system design environment. IEEE Computer, 36(4), April 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. Gerstlauer and D. Gajski. System-level abstraction semantics. In Proc. 15th Int. Symposium on System Synthesis (ISSS'02), pages 231--236, Oct. 2-4 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. C. A. R. Hoare. Communicating sequential processes. Communications of the ACM, 21(8), August 1978. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. A. A. Jerraya, A. Bouchhima, and F. Pétrot. Programming models and hw-sw interfaces abstraction for multi-processor SoC. In Proc. of the Design Automation Conference (DAC), pages 280--285, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. K. Keutzer et al. System level design: Orthogonalization of concerns and platform-based design. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 19(12), Dec. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. G. Kahn. The semantics of a simple language for parallel programming. In Proc. of the IFIP Congress 74, 1974.Google ScholarGoogle Scholar
  9. M. J. Rutten et al. A Heterogeneous Multiprocessor Architecture for Flexible Media Processing. IEEE Design & Test of Computers, 19(4), 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. G. Martin. Overview of the MPSoC Design Challenge. In Proc. Design Automation Conference (DAC), San Francisco, USA, July 24-28 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. A. Mihal and K. Keutzer. Mapping concurrent applications onto architectural platforms. In A. Jantsch and H. Tenhunen, editors, Networks on Chips, pages 39--59. Kluwer Academic Publishers, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. S. Mohanty and V. K. Prasanna. Rapid system-level performance evaluation and optimization for application mapping onto SoC architectures. In Proc. of the IEEE International ASIC/SOC Conference, 2002.Google ScholarGoogle ScholarCross RefCross Ref
  13. H. Nikolov, T. Stefanov, and E. Deprettere. Multi-processor system design with ESPAM. In Proc. of the Int. Conf. on HW/SW Codesign and System Synthesis (CODES-ISSS'06), pages 211--216, Oct. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. P. G. Paulin et al. Parallel Programming Models for a Multiprocessor SoC Platform Applied to Networking and Multimedia. IEEE Trans. on VLSI Systems, 14(7), 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. A. D. Pimentel, C. Erbas, and S. Polstra. A systematic approach to exploring embedded system architectures at multiple abstraction levels. IEEE Transactions on Computers, 55(2):99--112, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. A. D. Pimentel, M. Thompson, S. Polstra, and C. Erbas. On the calibration of abstract performance models for system-level design space exploration. In Proc. of the Int. Conf. on Embedded Computer Systems: Architectures, MOdeling, and Simulation (IC-SAMOS), pages 71--77, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  17. T. Stefanov, B. Kienhuis, and E. F. Deprettere. Algorithmic transformation techniques for efficient exploration of alternative application instances. In Proc. of the Int. Symposium on Hardware/Software Codesign (CODES), pages 7--12, May 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. T. Kangas et al. UML-based multi-processor SoC design framework. ACM Trans. on Embedded Computing Systems, 5(2):281--320, May 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. T. Kogel et al. Virtual architecture mapping: A SystemC based methodology for architectural exploration of system-on-chip designs. In Proc. of the Int. workshop on Systems, Architectures, Modeling and Simulation (SAMOS), pages 138--148, 2003.Google ScholarGoogle Scholar
  20. T. Stefanov et al. System design using Kahn process networks: The Compaan/Laura approach. In Proc. of the Int. Conference on Design, Automation and Test in Europe (DATE), pages 340--345, Feb. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. S. Verdoolaege, H. Nikolov, and T. Stefanov. PN: a tool for improved derivation of process networks. EURASIP Journal on Embedded Systems, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A framework for rapid system-level exploration, synthesis, and programming of multimedia MP-SoCs

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        CODES+ISSS '07: Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis
        September 2007
        284 pages
        ISBN:9781595938244
        DOI:10.1145/1289816

        Copyright © 2007 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 30 September 2007

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate280of864submissions,32%

        Upcoming Conference

        ESWEEK '24
        Twentieth Embedded Systems Week
        September 29 - October 4, 2024
        Raleigh , NC , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader