skip to main content
article

Polymorphic On-Chip Networks

Published:01 June 2008Publication History
Skip Abstract Section

Abstract

As the number of cores per die increases, be they processors, memory blocks, or custom accelerators, the on-chip interconnect the cores use to communicate gains importance. We begin this study with an area-performance analysis of the interconnect design space. We find that there is no single network design that yields optimal performance across a range of traffic patterns. This indicates that there is an opportunity to gain performance by customizing the interconnect to a particular application or workload. We propose polymorphic on-chip networks to enable per-application network customization. This network can be configured prior to application runtime, to have the topology and buffering of arbitrary network designs. This paper proposes one such polymorphic network architecture. We demonstrate its modes of configurability, and evaluate the polymorphic network architecture design space, producing polymorphic fabrics that minimize the network area overhead. Finally, we expand the network on chip design space to include a polymorphic network design, showing that a single polymorphic network is capable of implementing all of the pareto optimal fixed-network designs.

References

  1. P. Abad, V. Puente, J. A. Gregorio, and P. Prieto. Rotary router: an efficient architecture for CMP interconnection networks. ACM SIGARCH Computer Architecture News, 35(2):116-125, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. Abnous, H. Zhang, M. Wan, G. Varghese, V. Prabhu, and J. Rabaey. The pleiades architecture. The Application of Programmable DSPs in Mobile Communications, pages 327- 360, 2002.Google ScholarGoogle Scholar
  3. J. Balfour and W. J. Dally. Design tradeoffs for tiled CMP on-chip networks. In Proc. of the International Conference on Supercomputing, pages 187-198, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. K. Constantinides, S. Plaza, B. Z. Jason Blome, V. Bertacco, S. Mahlke, T. Austin, and M. Orshansky. Bulletproof: A defecttolerant CMP switch architecture. In Proc. of the International Symposium on High-Performance Computer Architecture , pages 5-16, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  5. M. Coppola, R. Locatelli, G. Maruccia, L. Pieralisi, and A. Scandurra. Spidergon: a novel on-chip communication network. In Proc. of the International Symposium on System-on-Chip , page 15, 2004.Google ScholarGoogle ScholarCross RefCross Ref
  6. W. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. W. J. Dally. Virtual-channel flow control. In Proc. of the International Symposium on Computer Architecture, pages 60- 68, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. W. J. Dally and J. W. Poulton. Digital systems engineering. Cambridge University Press, New York, NY, USA, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. W. J. Dally and C. L. Seitz. Deadlock-free message routing in multiprocessor interconnection networks. IEEE Transactions on Computers, 36(5):547-553, 1987. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. D. Davis, J. Laudon, and K. Olukotun. Maximizing CMP throughput with mediocre cores. In Proc. of the International Conference on Parallel Architectures and Compilation Techniques , pages 51-62, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. C. Ebeling, D. C. Cronquist, and P. Franklin. RaPiD - re-configurable pipelined datapath. In Booktitle of the International Workshop on Field-Programmable Logic, Smart Applications, New Paradigms and Compilers, pages 126-135, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. C. Grecu and M. Jones. Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Transactions on Computers, 54(8):1025-1040, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. J. Hu, U. Y. Ogras, and R. Marculescu. System-level buffer allocation for application-specific networks-on-chip router design. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 25(12):2919-2933, December 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Huh, D. Burger, and S. W. Keckler. Exploring the design space of future CMPs. In Proc. of the International Conference on Parallel Architectures and Compilation Techniques, pages 199-210, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. International technology roadmap for semiconductors. Semiconductor Industry Association, http://public.itrs.net/, 2006.Google ScholarGoogle Scholar
  16. A. Jalabert, S. Murali, L. Benini, and G. D. Micheli. xpipesCompiler: A tool for instantiating application specific networks on chip. In Proc. of the Conference on Design, Automation and Test in Europe, page 20884, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. J. Kim, W. J. Dally, and D. Abts. Flattened butterfly topology for on-chip networks. In Proc. of the International Symposium on Microarchitecture, pages 172-182, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi. Leveraging optical technology in future bus-based chip multiprocessors. In Proc. of the International Symposium on Microarchitecture, pages 492-503, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. A. Kumar, L.-S. Peh, P. Kundu, and N. K. Jha. Express virtual channels: towards the ideal interconnection fabric. In Proc. of the International Symposium on Computer Architecture, pages 150-161, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. C. E. Leiserson, Z. S. Abuhamdeh, D. C. Douglas, C. R. Feynman, M. N. Ganmukhi, J. V. Hill, D. Hillis, B. C. Kuszmaul, M. A. S. Pierre, D. S. Wells, M. C. Wong, S.-W. Yang, and R. Zak. The network architecture of the connection machine CM-5 (extended abstract). In Proc. of the Symposium on Parallel Algorithms and Architectures, pages 272-285, 1992. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. R. Mullins, A. West, and S. Moore. Low-latency virtual-channel routers for on-chip networks. In Proc. of the International Symposium on Computer Architecture, page 188, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. S. Murali, P. Meloni, F. Angiolini, D. Atienza, S. Carta, L. Benini, G. D. Micheli, and L. Raffo. Designing application-specific networks on chips with floorplan information. In Proc. of the International Conference on Computer-Aided Design, pages 355-362, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. S. Murali, P. Meloni, F. Angiolini, D. Atienza, S. Carta, L. Benini, G. D. Micheli, and L. Raffo. Designing application-specific networks on chips with floorplan information. In Proc. of the International Conference on Computer-Aided Design, pages 355-362, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. H. Singh, G. Lu, E. Filho, R. Maestre, M.-H. Lee, F. Kurdahi, and N. Bagherzadeh. MorphoSys: case study of a reconfigurable computing system targeting multimedia applications. In Proc. of the Conference on Design Automation, pages 573- 578, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. H. Wang, L.-S. Peh, and S. Malik. Power-driven design of router microarchitectures in on-chip networks. In Proc. of the International Symposium on Microarchitecture, pages 105- 115, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. A. Yoo, E. Chow, K. Henderson, W. McLendon, B. Hendrickson, and U. Catalyurek. A scalable distributed parallel breadth-first search algorithm on bluegene/l. In Proc. of the Conference on Supercomputing, page 25, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Polymorphic On-Chip Networks

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in

            Full Access

            • Published in

              cover image ACM SIGARCH Computer Architecture News
              ACM SIGARCH Computer Architecture News  Volume 36, Issue 3
              June 2008
              449 pages
              ISSN:0163-5964
              DOI:10.1145/1394608
              Issue’s Table of Contents
              • cover image ACM Conferences
                ISCA '08: Proceedings of the 35th Annual International Symposium on Computer Architecture
                June 2008
                449 pages
                ISBN:9780769531748

              Copyright © 2008 Authors

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 1 June 2008

              Check for updates

              Qualifiers

              • article

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader