skip to main content
10.1145/140901.140902acmconferencesArticle/Chapter ViewAbstractPublication PagesspaaConference Proceedingsconference-collections
Article
Free Access

Adaptive deadlock- and livelock-free routing with all minimal paths in Torus networks

Published:01 June 1992Publication History
First page image

References

  1. BCC+88.S. Borkar, R. Cohn, G. Cox, S. Gleason, T. Gross, H.T. Kung, et al. iWarp" an integrated solution to high-speed parallel computing. In Proceedings of Supercomputing 88. ACM, 1988. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. BS91.K. Bolding and L. Snyder. Mesh and torus chaotic routing. UW CS91-04-04, University of Washington, 1991. To appear in the MIT/Brown Advanced Research in VLSI and Parallel Systems Conference, March 1992.Google ScholarGoogle Scholar
  3. CEDK91.F. Chong, E. Egozy, A. DeHon, and T. Knight. Multipath fault tolerance in multistage interconnection networks. Transit note #48~ MIT~ June 1991.Google ScholarGoogle Scholar
  4. CG92a.R. Cypher and L. Gravano. Adaptive deadlock-free packet routing in torus networks with minimal storage. RJ:8571 (77350), IBM Almaden Research Center, January 1992. To be presented in ICPP '92.Google ScholarGoogle Scholar
  5. CG92b.R. Cypher and L. Gravano. Requirements for deadlock-free, adaptive packet routing. Technical report, IBM Almaden Research Center, February 1992. To be presented in PODC '92. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. DA90.W.J. Dally and H. Aoki. Adaptive Routing using Virtual Channels. Technical report, MIT, 1990.Google ScholarGoogle Scholar
  7. Dal90.W.J. Dally. Virtual-Channel Flow Control. In The 17~h Annual International Symposium on Computer Architecture, May 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. DS86.W.J. Dally and C. L. Seitz. The Torus Routing Chip. Distributed Computing, pages 187-196, 1986.Google ScholarGoogle Scholar
  9. DS87.W. Dally and C. Seitz. Deadlock-free message routing in multiprocessor interconnection networks. IEEE Transactions on Computers, 36:547-553, May 1987. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Dua91.J. Duato. Deadlock-free adaptive routing algorithms for multicomputers" Evaluation of a new algorithm. In Proceedings of the 3ra IEEE Symposium on Parallel and Distributed Processing. IEEE, December 1991.Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. FGPS91.S. A. Felperin, L. Gravano, G. D. Pifarr~, and J. L. C. Sanz. Fully-adaptive routing: Packet switching performance and wormhole algorithms. In Supercomputing, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. FLBS91.S.A. Felperin, H. Laffitte, G. Buranits, and J.L.C. Sanz. Deadlock-free minimal packet routing in the torus network. TR:91-22, IBM Argentina, CRAAG, 1991.Google ScholarGoogle Scholar
  13. GPFS91.L. Gravano, G.D. Pifarr~, S. A. Felperin, and J.L.C. Sanz. Adaptive deadlock-free worm-hole routing with all minimal paths. TR:91-21, IBM Argentina, CRAAG, August 1991.Google ScholarGoogle Scholar
  14. Hil85.D. Hillis. The Connection Machine. The MIT Press, 1985. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. KS90.S. Konstantinidou and L. Snyder. The Chaos router: A practical application of randomization in network routing. In 2nd. Annual A CM SPAA, pages 21-30, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. KS91.S. Konstantinidou and L. Snyder. Chaos Router: Architecture and performance. In 18th International Symposium on Computer Architecture, pages 212-221. IEEE, May 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. KU91.S. Konstantinidou and E. Upfal. Experimental comparison of multistage interconnection networks. RJ:8451 (76459), IBM Almaden Research Center, November 1991.Google ScholarGoogle Scholar
  18. Lei90.T. Leighton. Average Case Analysis of Greedy Routing Algorithms on Arrays. In SPAA, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. LH91.D.H. Linder and J.C. Harden. An Adaptive and Fault Tolerant Wormhole Routing Strategy for k-ary n-cubes. IEEE Transactions on Computers, 40(1):2-12, January 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. LLK+91.D. Lenoski, 3. Laudon, Garachorloo K., A. Gupta, W. Weber, and J. Hennessy. Overview and status of the dash multiprocessor. In International Sympos,um on Shared Memory Multiprocesszng. Tokyo, Japan, 1991.Google ScholarGoogle Scholar
  21. LM89.T. Leighton and B. Maggs. Expanders might be practical" Fast algorithms for routing around faults on multibutterflies. In IEEE, editor, 30th Annual Symposium on Foundations of Computer Science, pages 384-389, October 1989.Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. NS87.J.Y. Ngai and C.L. Seitz. A framework for adaptive routing. 5246:TR:87, Computer Science Department, California Institute of Technology, 1987. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. PGFS91.G.D. Pifarr~, L. Gravano, S.A. Felperin, and J.L.C. Sanz. Fully-Adaptive Minimal Deadlock-Free Packet Routing in Hypercubes, Meshes, and Other Networks. In Proceedzngs of the 3~ Annual ACM Symposzum on Parallel Algorithms and Architectures, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Ran85.A.G. Ranade. How to emulate shared memory. In Foundations of Computer Science, pages 185- 194, 1985.Google ScholarGoogle Scholar
  25. RBJ88.A.G. Ranade, S.N. Bhat, and S.L. Johnson. The Fluent Abstract Machine. In J. Allen and F.T. Leighton, editors, Fifth MIT conference on advanced research in VLSI, pages 71 - 93. The MIT press, March 1988. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. RU91.P. Raghavan and E. Upfal. A theory of wormhole routing in parallel computers. Technical report, IBM Research, December 1991.Google ScholarGoogle Scholar
  27. Upf89.E. Upfal. An O(log N) deterministic packet routing scheme. In 218t Annual A CM- SIGACT Symposium on Theory of Computing, May 1989. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Val88.L.G. Valiant. General purpose parallel architectures. In J. van Leeuwen, editor, Handbook of Theoreiical Compuier Science. North-Holland, 1988.Google ScholarGoogle Scholar

Index Terms

  1. Adaptive deadlock- and livelock-free routing with all minimal paths in Torus networks

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        SPAA '92: Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
        June 1992
        441 pages
        ISBN:089791483X
        DOI:10.1145/140901

        Copyright © 1992 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 June 1992

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate447of1,461submissions,31%

        Upcoming Conference

        SPAA '24

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader