skip to main content
10.1145/1555754.1555783acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

Indirect adaptive routing on large scale interconnection networks

Published:20 June 2009Publication History

ABSTRACT

Recently proposed high-radix interconnection networks [10] require global adaptive routing to achieve optimum performance. Existing direct adaptive routing methods are slow to sense congestion remote from the source router and hence misroute many packets before such congestion is detected. This paper introduces indirect global adaptive routing (IAR) in which the adaptive routing decision uses information that is not directly available at the source router. We describe four IAR routing methods: credit round trip (CRT) [10], progressive adaptive routing (PAR), piggyback routing (PB), and reservation routing (RES). We evaluate each of these methods on the dragonfly topology under both steady-state and transient loads. Our results show that PB, PAR, and CRT all achieve good performance. PB provides the best absolute performance, with 2-7% lower latency on steady-state uniform random traffic at 70% load, while PAR provides the fastest response on transient loads. We also evaluate the implementation costs of the indirect adaptive routing methods and show that PB has the lowest implementation cost requiring <1% increase in the total storage of a typical high-radix router.

References

  1. R. V. Boppana and S. Chalasani. A comparison of adaptive wormhole routing algorithms. In Proc. of the International Symposium on Computer Architecture (ISCA), pages 351--360, San Diego, CA, 1993. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. W. Choi and S. K. Das. Design and performance analysis of a proxy-based indirect routing scheme in ad hoc wireless networks. Mob. Netw. Appl., 8(5):499--515, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. C. Clos. A study of non-blocking switching networks. Bell System Technical Journal, 32:406--424, 1953.Google ScholarGoogle ScholarCross RefCross Ref
  4. W. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. W. J. Dally. Virtual-channel flow control. In ISCA'90: Proceedings of the 17th annual international symposium on Computer Architecture, pages 60--68, New York, NY, USA, 1990. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. P. T. Gaughan and S. Yalamanchili. Adaptive routing protocols for hypercube interconnection networks. Computer, 26(5):12--23, 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. P. Gratz, B. Grot, and S. W. Keckler. Regional congestion awareness for load balance in networks-on-chip. High Performance Computer Architecture, 2008. HPCA 2008. IEEE 14th International Symposium on, pages 203--214, Feb 2008.Google ScholarGoogle ScholarCross RefCross Ref
  8. L. Gravano, G. D. Pifarre, P. E. Berman, and J. L. C. Sanz. Adaptive deadlock- and livelock-free routing with all minimal paths in torus networks. IEEE Trans. Parallel Distrib. Syst., 5(12):1233--1251, 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Kim, W. J. Dally, and D. Abts. Flattened butterfly: a cost-efficient topology for high-radix networks. In Proc. of the International Symposium on Computer Architecture (ISCA), pages 126--137, San Diego, CA, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. Kim, W. J. Dally, S. Scott, and D. Abts. Technology-driven, highly-scalable dragonfly network. In Proc. of the International Symposium on Computer Architecture (ISCA), pages 77--88, Beijing, China, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. Kim, W. J. Dally, S. Scott, and D. Abts. Cost-efficient dragonfly topology for large-scale system. IEEE Micro Top Picks, 29(1):33--40, Jan/Feb 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Kim, W. J. Dally, B. Towles, and A. Gupta. Microarchitecture of a high-radix router. In Proc. of the International Symposium on Computer Architecture (ISCA), pages 420--431, Madison, WI, Jun. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. Kumar, L.-S. Peh, and N. Jha. Token flow control. In IEEE/ACM International Symposium on Microarchitecture (MICRO), pages 342--353, Nov. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. D. H. Linder and J. C. Harden. An adaptive and fault tolerant wormhole routing strategy for k-ary n-cubes. IEEE Trans. Comput., 40(1):2--12, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. O. Lysne, S.-A. Reinemo, T. Skeie, A. G. Solheim, T. Sødring, L. P. Huse, and B. D. Johnsen. The interconnection network - architectural challenges for utility computing data centres. IEEE Computer, 41(9):62--69, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. S. Scott, D. Abts, J. Kim, and W. J. Dally. The blackwidow high-radix clos network. In Proc. of the International Symposium on Computer Architecture (ISCA), pages 16--28, Boston, MA, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. L. Scott and G. M. Thorson. The cray T3E network: Adaptive routing in a high performance 3D torus. In Hot Interconnects, pages 147--156, August 1996.Google ScholarGoogle Scholar
  18. A. Singh. Load-Balanced Routing in Interconnection Networks. PhD thesis, Stanford University, 2005.Google ScholarGoogle Scholar
  19. A. Singh, W. J. Dally, A. K. Gupta, and B. Towles. Adaptive channel queue routing on k-ary n-cubes. In SPAA '04: Proceedings of the sixteenth annual ACM symposium on Parallelism in algorithms and architectures, pages 11--19, New York, NY, USA, 2004. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. L. G. Valiant. A scheme for fast parallel communication. SIAM Journal on Computing, 11(2):350--361, 1982.Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Indirect adaptive routing on large scale interconnection networks

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISCA '09: Proceedings of the 36th annual international symposium on Computer architecture
        June 2009
        510 pages
        ISBN:9781605585260
        DOI:10.1145/1555754
        • cover image ACM SIGARCH Computer Architecture News
          ACM SIGARCH Computer Architecture News  Volume 37, Issue 3
          June 2009
          495 pages
          ISSN:0163-5964
          DOI:10.1145/1555815
          Issue’s Table of Contents

        Copyright © 2009 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 20 June 2009

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate543of3,203submissions,17%

        Upcoming Conference

        ISCA '24

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader