skip to main content
10.1145/1687399.1687433acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Pre-bond testable low-power clock tree design for 3D stacked ICs

Published:02 November 2009Publication History

ABSTRACT

Pre-bond testing of 3D stacked ICs involves testing individual dies before bonding. The overall yield of 3D ICs improves with prebond testability because designers can avoid stacking defective dies with good ones. However, pre-bond testability presents unique challenges to 3D clock tree design. First, each die needs a complete 2D clock tree for the pre-bond testing. In addition, the entire 3D stack needs a complete 3D clock tree for post-bond testing and normal operations. In the case of two-die stack, a straightforward solution is to have two complete 2D clock trees connected with a single Through-Silicon-Via (TSV). We show that this solution suffers from long wirelength and high clock power consumption. Instead, our algorithm minimizes the overall wirelength and clock power consumption while providing the pre-bond testability and post-bond operability under given skew and slew constraints. Compared with the single-TSV solution, SPICE simulation results show that our multi-TSV approach significantly reduces the clock power by up to 15.9% for two-die and 29.7% for four-die stack. In addition, the wirelength reduction is up to 24.4% and 42.0%.

References

  1. GSRC Benchmark, http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/BST.Google ScholarGoogle Scholar
  2. ISPD Contest 2009, http://www.sigda.org/ispd/contests/ispd09cts.html.Google ScholarGoogle Scholar
  3. Predictive Technology Model, http://www.eas.asu.edu/ptm/.Google ScholarGoogle Scholar
  4. C. Albrecht, A. B. Kahng, B. Liu, I. I. Mandoiu, and A. Z. Zelikovsky. On the Skew-Bounded Minimum-Buffer Routing Tree Problem. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 22(7):937--945, July 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. C. J. Alpert, A. B. Kahng, B. Liu, I. I. Mandoiu, and A. Z. Zelikovsky. Minimum Buffered Routing with Bounded Capacitive Load for Slew Rate and Reliability Control. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 22(3):241--253, March 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. K. Boese and A. Kahng. Zero-Skew Clock Routing Trees with Minimum Wirelength. In ASIC Conference and Exhibit, 1992., Proceedings of Fifth Annual IEEE International, pages 17--21, 1992.Google ScholarGoogle Scholar
  7. S. Hu, C. Alpert, J. Hu, S. Karandikar, Z. Li, W. Shi, and C. Sze. Fast Algorithms for Slew-Constrained Minimum Cost Buffering. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 26(11):2009--2022, Nov. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. D. L. Lewis and H.-H. S. Lee. A Scan-Island Based Design Enabling Pre-bond Testbility in Die-Stcked Microprocessors. In IEEE International Test Conference, pages 1--8, 2007.Google ScholarGoogle Scholar
  9. D. L. Lewis and H.-H. S. Lee. Testing Circuit-Partitioned 3D IC Designs. In Proc. Int. Symp. on VLSI, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. Minz, X. Zhao, and S. K. Lim. Buffered Clock Tree Synthesis for 3D ICs Under Thermal Variations. In Proc. Asia and South Pacific Design Automation Conf., pages 504--509, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. RMST-Pack. http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/RSMT/RMST/.Google ScholarGoogle Scholar
  12. G. E. Tellez and M. Sarrafzadeh. Minimal Buffer Insertion in Clock Trees with Skew and Slew Rate Constraints. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 16(4):333--342, April 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. X. Wu, P. Falkenstern, and Y. Xie. Scan Chain Design for Three-dimensional Integrated Circuits (3D ICs). In Proc. IEEE Int. Conf. on Computer Design, pages 208--214, 2007.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Pre-bond testable low-power clock tree design for 3D stacked ICs

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ICCAD '09: Proceedings of the 2009 International Conference on Computer-Aided Design
            November 2009
            803 pages
            ISBN:9781605588001
            DOI:10.1145/1687399

            Copyright © 2009 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 2 November 2009

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            Overall Acceptance Rate457of1,762submissions,26%

            Upcoming Conference

            ICCAD '24
            IEEE/ACM International Conference on Computer-Aided Design
            October 27 - 31, 2024
            New York , NY , USA

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader