skip to main content
research-article

Logic synthesis and circuit customization using extensive external don't-cares

Published:10 June 2010Publication History
Skip Abstract Section

Abstract

Traditional digital circuit synthesis flows start from an HDL behavioral definition and assume that circuit functions are almost completely defined, making don't-care conditions rare. However, recent design methodologies do not always satisfy these assumptions. For instance, third-party IP blocks used in a system-on-chip are often overdesigned for the requirements at hand. By focusing only on the input combinations occurring in a specific application, one could resynthesize the system to greatly reduce its area and power consumption. Therefore we extend modern digital synthesis with a novel technique, called SWEDE, that makes use of extensive external don't-cares. In addition, we utilize such don't-cares present implicitly in existing simulation-based verification environments for circuit customization. Experiments indicate that SWEDE scales to large ICs with half-million input vectors and handles practical cases well.

References

  1. ABC. 2007. Berkeley logic synthesis and verification group, ABC: A system for sequential synthesis and verification, release 80308.Google ScholarGoogle Scholar
  2. Austin, T. M., Bertacco, V., Blaauw, D., and Mudge, T. N. 2005. Opportunities and challenges for better than worst-case design. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'05). 2--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Austin, T. M., Larson, E., and Ernst, D. 2002. SimpleScalar: An infrastructure for computer system modeling. IEEE Comput. 35, 2, 59--67. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Avery. 2008. Avery design systems. http://www.avery-design.com/.Google ScholarGoogle Scholar
  5. Bertacco, V. 2005. Scalable Hardware Verification with Symbolic Simulation. Springer. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Bertacco, V., Austin, T., and Wagner, I. 2007. Bug underground project. http://bug.eecs.umich.edu/.Google ScholarGoogle Scholar
  7. Brayton, R. K., Gao, M., Jiang, J.-H. R., Jiang, Y., Li, Y., Mishchenko, A., Sinha, S., and Villa, T. 2002. Optimization of multi-value multi-level networks. In Proceedings of the IEEE International Symposium on Multiple-Valued Logic (ISMVL'02). 168--177. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Chang, K.-H., Bertacco, V., and Markov, I. L. 2009. Customizing IP cores for system-on-chip designs using extensive external don't-cares. In Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'09). 582--585. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Choudhury, M. R. and Mohanram, K. 2009. Masking timing errors on speed-paths in logic circuits. In Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'09). 87--92. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Constantinides, K., Plaza, S., Blome, J. A., Zhang, B., Bertacco, V., Mahlke, S. A., Austin, T. M., and Orshansky, M. 2006. BulletProof: A defect-tolerant CMP switch architecture. In Proceedings of the International Symposium on High-Performance Computer Architecture (HPCA'06). 5--16.Google ScholarGoogle Scholar
  11. Craig, W. 1957. Linear reasoning: A new form of the Herbrand-Gentzen theorem. J. Symb. Logic 22, 3, 250--287.Google ScholarGoogle ScholarCross RefCross Ref
  12. Eén, N. and Sörensson, N. 2003. An extensible SAT-solver. In Proceedings of the International Conference on Theory and Applications of Satisfiability (SAT'03). 502--518.Google ScholarGoogle Scholar
  13. E E Times. 2008. EDA sales jump in Q4. http://www.eetimes.com/showarticle.jhtml?articleid=207001548.Google ScholarGoogle Scholar
  14. Ganai, M. K. and Gupta, A. 2007. SAT-Based Scalable Formal Verification Solutions. Springer. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Gorjiara, B. and Gajski, D. 2008. Automatic architecture refinement techniques for customizing processing elements. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'08). 379--384. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Lai, C.-Y., Huang, C.-Y., and Khoo, K.-Y. 2008. Improving constant-coefficient multiplier verification by partial product identification. In Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'08). 813--818. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Lakshminarayana, G., Raghunathan, A., Khouri, K. S., and Jha, N. K. 2001. Method for synthesis of common-case optimized circuits to improve performance and power dissipation. United States Patent 6,308,313 B1.Google ScholarGoogle Scholar
  18. Matsunaga, Y. and Fujita, M. 1989. Multi-Level logic optimization using binary decision diagrams. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'89). 556--559.Google ScholarGoogle Scholar
  19. McMillan, K. L. 2003. Interpolation and SAT-based model checking. In Proceedings of the International Conference on Computer-Aided Verification (CAV'03). 1--13.Google ScholarGoogle ScholarCross RefCross Ref
  20. Mishchenko, A., Brayton, R., Jiang, J.-H. R., and Jang, S. 2007. SAT-Based logic optimization and resynthesis. In Proceedings of the International Workshop on Logic and Synthesis (IWLS'07). 358--364.Google ScholarGoogle Scholar
  21. Muroga, S., Kambayashi, Y., Lai, H. C., and Culliney, J. N. 1989. The transduction method-design of logic networks based on permissible functions. IEEE Trans. Comput. 38, 10, 1404--1424. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. MVSIS. 2005. http://www-cad.eecs.berkeley.edu/respep/research/mvsis.Google ScholarGoogle Scholar
  23. Plaza, S., Chang, K.-H., Markov, I. L., and Bertacco, V. 2007. Node mergers in the presence of don't cares. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'07). 414--419. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Rajski, J. and Vasudevamurthy, J. 1992. The testability-preserving concurrent decomposition and factorization of Boolean expressions. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 11, 6, 778--793.Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Rudell, R. L. and Sangiovanni-Vincentelli, A. L. 1987. Multiple-Valued minimization for PLA optimization. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 6, 5, 727--750.Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Sarbishei, O., Tabandeh, M., Alizadeh, B., and Fujita, M. 2009. High-Level optimization of integer multipliers over a finite bit-width with verification capabilities. In Proceedings of the ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE'09). 56--65. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Savoj, H. and Brayton, R. K. 1990. The use of observability and external don't cares for the simplification of multi-level networks. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'90). 297--301. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Schnarr, E. and Larus, J. R. 1998. Fast out-of-order processor simulation using memoization. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'98). 283--294. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Shannon, C. E. 1948. A mathematical theory of communication. The Bell Syst. Tech. J. 27, 379--423.Google ScholarGoogle ScholarCross RefCross Ref
  30. SPECINT. 2000. SpecINT2000 benchmarks, http://www.spec.org/.Google ScholarGoogle Scholar
  31. Verma, A. K., Brisk, P., and Ienne, P. 2008. Variable latency speculative addition: A new paradigm for arithmetic circuit design. In Proceedings of the Conference and Exhibition on Design, Automation and Test in Europe (DATE'08). 1250--1255. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Wagner, I., Bertacco, V., and Austin, T. M. 2005. StressTest: An automatic approach to test generation via activity monitors. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'05). 783--788. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Wagner, I., Bertacco, V., and Austin, T. M. 2006. Shielding against design flaws with field repairable control logic. In Proceedings of the IEEE/ACM Design Automation Conference (DAC'06). 344--347. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Walko, J. 2007. Europe suppliers score in Apple's iPhone, http://eetimes.eu showarticle. jhtml?articleid=200001829. (EETimes Europe).Google ScholarGoogle Scholar
  35. Yamashita, S., Sawada, H., and Nagoya, A. 1996. A new method to express functional permissibilities for LUT based FPGAs and its applications. In Proceedings of the IEEE International Conference on Computer-Aided Design (ICCAD'96). 254--261. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Yamashita, S., Sawada, H., and Nagoya, A. 2000. SPFD: A new method to express functional flexibility. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 19, 8, 840--849. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Yang, Y.-S., Sinha, S., Veneris, A. G., and Brayton, R. K. 2007. Automating logic rectification by approximate SPFDs. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'07). 402--407. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Logic synthesis and circuit customization using extensive external don't-cares

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 15, Issue 3
      May 2010
      192 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/1754405
      Issue’s Table of Contents

      Copyright © 2010 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 10 June 2010
      • Accepted: 1 January 2010
      • Revised: 1 December 2009
      • Received: 1 May 2009
      Published in todaes Volume 15, Issue 3

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader