skip to main content
10.1145/2145694.2145708acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
research-article

The VTR project: architecture and CAD for FPGAs from verilog to routing

Published:22 February 2012Publication History

ABSTRACT

To facilitate the development of future FPGA architectures and CAD tools -- both embedded programmable fabrics and pure-play FPGAs -- there is a need for a large scale, publicly available software suite that can synthesize circuits into easily-described hypothetical FPGA architectures. These circuits should be captured at the HDL level, or higher, and pass through logical and physical synthesis. Such a tool must provide detailed modelling of area, performance and energy to enable architecture exploration. As software flows themselves evolve to permit design capture at ever higher levels of abstraction, this downstream full-implementation flow will always be required. This paper describes the current status and new release of an ongoing effort to create such a flow - the 'Verilog to Routing' (VTR) project, which is a broad collaboration of researchers. There are three core tools: ODIN II for Verilog Elaboration and front-end hard-block synthesis, ABC for logic synthesis, and VPR for physical synthesis and analysis. ODIN II now has a simulation capability to help verify that its output is correct, as well as specialized synthesis at the elaboration step for multipliers and memories. ABC is used to optimize the 'soft' logic of the FPGA. The VPR-based packing, placement and routing is now fully timing-driven (the previous release was not) and includes new capability to target complex logic blocks. In addition we have added a set of four large benchmark circuits to a suite of previously-released Verilog HDL circuits. Finally, we illustrate the use of the new flow by using it to help architect a floating-point unit in an FPGA, and contrast it with a prior, much longer effort that was required to do the same thing.

References

  1. Stratix IV Device Family Overview. http://www.altera.com/literature/hb/stratix-iv/stx4_siv51001.pdf, 2009.Google ScholarGoogle Scholar
  2. Xilinx Virtex-6 Family Overview. http://www.xilinx.com/support/documentation/data_sheets/ds150.pdf, 2009.Google ScholarGoogle Scholar
  3. V. Betz, J. Rose, and A. Marquardt. Architecture and CAD for Deep-Submicron FPGAs. Kluwer Academic Publishers, Norwell, Massachusetts, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. C.H. Ho, C.W. Yu, P.H.W. Leong, W. Luk and S.J.E. Wilton. Floating-Point FPGA: Architecture and Modeling. IEEE Trans. on VLSI Systems, 17(2):1709--1718, Dec 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. C.W. Yu. A Tool for Exploring Hybrid FPGAs. In Proc. International Conference on Field Programmable Logic and Applications (FPL), PhD Forum, pages 509--510, 2007.Google ScholarGoogle ScholarCross RefCross Ref
  6. C.W. Yu, A.M. Smith, W. Luk, P.H.W. Leong, S.J.E. Wilton. Optimizing Floating Point Units in Hybrid FPGAs. IEEE Trans. on VLSI Systems, to appear. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. C.W. Yu, W. Luk, S.J.E. Wilton, P.H.W. Leong. Routing Optimization for Hybrid FPGAs. In Proc. International Conference on Field Programmable Technology (FPT), pages 419--422, 2009.Google ScholarGoogle Scholar
  8. G.L. Zhang and P.H.W. Leong and C.H. Ho and K.H. Tsoi and C.C.C. Cheung, D. Lee, R.C.C. Cheung and W. Luk. Reconfigurable Acceleration for Monte Carlo Based Financial Simulation. In Proc. International Conference on Field Programmable Technology (FPT), pages 215--222, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  9. W. K. C. Ho and S. J. E. Wilton. Logical-to-physical memory mapping for fpgas with dual-port embedded arrays. In Proceedings of the 9th International Workshop on Field-Programmable Logic and Applications, pages 111--123, London, UK, 1999. Springer-Verlag. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. P. Jamieson, K. Kent, F. Gharibian, and L. Shannon. Odin II-An Open-Source Verilog HDL Synthesis Tool for CAD Research. In IEEE Annual Int'l Symp. on Field-Programmable Custom Computing Machines, pages 149--156. IEEE, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. Jang, B. Chan, K. Chung, and A. Mishchenko. WireMap: FPGA technology mapping for improved routability and enhanced LUT merging. ACM Trans. on Reconfigurable Technology and Systems, 2(2):1--24, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. I. Kuon and J. Rose. Automated transistor sizing for fpga architecture exploration. In Proceedings of the 45th annual Design Automation Conference, DAC '08, pages 792--795, New York, NY, USA, 2008. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. J. Luu, J. Anderson, and J. Rose. Architecture description and packing for logic blocks with hierarchy, modes and complex interconnect. In Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays, FPGA '11, pages 227--236, New York, NY, USA, 2011. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Luu, K. Redmond, W. Lo, P. Chow, L. Lilge, and J. Rose. Fpga-based monte carlo computation of light absorption for photodynamic cancer therapy. Field-Programmable Custom Computing Machines, Annual IEEE Symp. on, 0:157--164, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. A. Marquardt, V. Betz, and J. Rose. Using Cluster-Based Logic Blocks and Timing-Driven Packing to Improve FPGA Speed and Density. ACM Int'l Symp. on FPGAs, pages 37--46, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. A. Mishchenko et al. ABC: A System for Sequential Synthesis and Verification. http://www.eecs.berkeley.edu/alanmi/abc, 2009.Google ScholarGoogle Scholar
  17. P. O'Brien, A. Furrow, B. Libby, and K. Kent. A simple tractable approach to design tool verification through simulation and statistics. In to appear in IEEE Conference on Field Programmable Technologies, FPT '11. IEEE, 2011.Google ScholarGoogle Scholar
  18. K. K. W. Poon, A. Yan, and S. J. E. Wilton. A flexible power model for fpgas. In Proceedings of the Reconfigurable Computing Is Going Mainstream, 12th International Conference on Field-Programmable Logic and Applications, FPL '02, pages 312--321, London, UK, UK, 2002. Springer-Verlag. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. S. Srinath and K. Compton. Automatic generation of high-performance multipliers for fpgas with asymmetric multiplier blocks. In Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays, FPGA '10, pages 51--58, New York, NY, USA, 2010. ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. W. Zhang, V. Betz, and J. Rose. Portable and scalable fpga-based acceleration of a direct linear system solver. In International Conference on Field-Programmable Technology, FPT 2008., pages 17--24, dec. 2008.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. The VTR project: architecture and CAD for FPGAs from verilog to routing

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        FPGA '12: Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays
        February 2012
        352 pages
        ISBN:9781450311557
        DOI:10.1145/2145694

        Copyright © 2012 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 22 February 2012

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        FPGA '12 Paper Acceptance Rate20of87submissions,23%Overall Acceptance Rate125of627submissions,20%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader