Abstract
Chip multiprocessor (CMP) techniques have been implemented in embedded systems due to tremendous computation requirements. Three-dimension (3D) CMP architecture has been studied recently for integrating more functionalities and providing higher performance. The high temperature on chip is a critical issue for the 3D architecture. In this article, we propose an online thermal prediction model for 3D chips. Using this model, we propose novel task scheduling algorithms based on rotation scheduling to reduce the peak temperature on chip. We consider data dependencies, especially inter-iteration dependencies that are not well considered in most of the current thermal-aware task scheduling algorithms. Our simulation results show that our algorithms can efficiently reduce the peak temperature up to 8.1ˆC.
- Allec, N., Hassan, Z., Shang, L., Dick, R. P., and Yang, R. 2008. Thermalscope: Multi-scale thermal analysis for nanometer-scale integrated circuits. In Proceedings of the ACM/IEEE International Conference on Computer Aided Design (ACM/IEEE ICCAD). 75--82. Google ScholarDigital Library
- Ayoub, R. and Rosing, T. S. 2009. Predict and act: dynamic thermal management for multi-core processors. In Proceeding of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09). ACM, 99--104. Google ScholarDigital Library
- Black, B., Annavaram, M., Brekelbaum, N., DeVale, J., Jiang, L., Loh, G. H., McCaule, D., Morrow, P., Nelson, D. W., and Pantuso, D. 2006. Die stacking (3D) microarchitecture. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. 469--479. Google ScholarDigital Library
- Brooks, D., Tiwari, V., and Martonosi, M. 2000. WATTCH: A framework for architectural-level power analysis and optimizations. In Proceedings of the IEEE Annual International Symposium on Computer Architecture (IEEE ISCA). 83--94. Google ScholarDigital Library
- Chao, L.-F., LaPaugh, A., and Sha, E. H.-M. 1997. Rotation scheduling: A loop pipelining algorithm. IEEE Trans. Comput. Aided Design Integ. Circ. Syst. 16, 3 (Mar.), 229--239. Google ScholarDigital Library
- Chaparro, P., González, J., Cai, Q., and Chrysler, G. 2009. Dynamic thermal management using thin-film thermoelectric cooling. In Proceedings of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09). ACM, 111--116. Google ScholarDigital Library
- Coskun, A., Rosing, T., and Gross, K. 2008. Proactive temperature balancing for low cost thermal management in MPSoCs. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ACM/IEEE ICCAD). Google ScholarDigital Library
- Coskun, A. K., Ayala, J. L., Atienza, D., Rosing, T. S., and Leblebici, Y. 2009. Dynamic thermal management in 3D multicore architectures. In Proceedings of the ACM/IEEE Conference and Exhibition on Design, Automation, and Test in Europe (DATE). 1410--1415. Google ScholarDigital Library
- Han, Y., Koren, I., and Moritz, C. A. 2005. Temperature aware floorplanning. In Proceedings of the Workshop on Temperature-Aware Computer Systems.Google Scholar
- Ibarra, O. H. and Kim, C. E. 1977. Heuristic algorithms for scheduling independent tasks on nonidentical processors. J. ACM 24, 2, 280--289. Google ScholarDigital Library
- JEDEC. 2009. Failure mechanisms and models for semiconductor devices. http://www.jedec.org.Google Scholar
- Kessler, R. E. 1999. The Alpha 21264 microprocessor. IEEE Micro 19, 2, 24--36. Google ScholarDigital Library
- Lin, C., Yang, C., and King, K. 2009. PPT: joint performance/power/thermal management of DRAM memory for multi-core systems. In Proceedings of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09). ACM, 93--98. Google ScholarDigital Library
- Liu, S. and Qiu, M. 2010. Thermal-aware scheduling for peak temperature reduction with stochastic workloads. In Proceedings of the IEEE Real-Time and Embedded Technology and Appreciation Symposium (IEEE/ACM RTAS).Google Scholar
- Liu, S., Zhang, J., Wu, Q., and Qiu, Q. 2010. Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor. In Proceedings of the IEEE International Symposium on Quality Electronic Design. 390--398.Google Scholar
- Mosse, D., Aydin, H., Childers, B., and Melhem, R. 2000. Compiler-assisted dynamic power-aware scheduling for real-time applications. In Proceedings of the Workshop on Compilers and Operating Systems for Low-Power.Google Scholar
- Mulas, F., Pittau, M., Buttu, M., Carta, S., Acquaviva, A., Benini, L., and Atienza, D. 2008. Thermal balancing policy for streaming computing on multiprocessor architectures. In Proceedings of the ACM/IEEE Conference and Exhibition on Design, Automation, and Test in Europe (DATE). 734--739. Google ScholarDigital Library
- Nookala, V., Lilja, D. J., and Sapatnekar, S. S. 2006. Temperature-aware floorplanning of microarchitecture blocks with IPC-power dependence modeling and transient analysis. In ACM/IEEE ISLPED. 298--303. Google ScholarDigital Library
- Pathak, M. and Lim, S. 2008. Thermal-aware steiner routing for 3D stacked ICs. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD). 205--211. Google ScholarDigital Library
- Puttaswamy, K. and Loh, G. 2007. Thermal herding: microarchitecture techniques for controlling hotspots in high-performance 3d-integrated processors. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). 193--204. Google ScholarDigital Library
- Qiu, M., Yang, L., Shao, Z., and Sha, E. H.-M. 2010. Dynamic and leakage energy minimization with soft real-time loop scheduling and voltage assignment. IEEE Trans. TVLSI Syst. 18, 3, 501--504. Google ScholarDigital Library
- Qiu, M., Jia, Z., Xue, C., Shao, Z., and Sha, E. H. M. 2007. Voltage assignment with guaranteed probability satisfying timing constraint for real-time multiproceesor DSP. J. VLSI Sig. Proc. 46, 1, 55--73. Google ScholarDigital Library
- Qiu, M. and Sha, E. H.-M. 2009. Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systems. ACM Trans. Design Automat. Electron. Syst. 14, 2, 1--30. Google ScholarDigital Library
- Qiu, M., Yang, L., Shao, Z., and Sha, E. H.-M. 2009. Rotation scheduling and voltage assignment to minimize energy for SoC. In Proceedings of the International Conference on Computational Science and Engineering. 48--55. Google ScholarDigital Library
- Sankaranarayanan, K., Velusamy, S., Stan, M., and Skadron, K. 2005. A case for thermal-aware floorplanning at the microarchitectural level. IEEE Trans. Comput. Aided Des. Integ. Circ. Syst. 7, 1--16.Google Scholar
- Shin, D., Kim, J., and Lee, S. 2001. Intra-task voltage scheduling for low-energy, hard real-time applications. IEEE Des. Test Comput. 18, 2, 20--30. Google ScholarDigital Library
- Shivle, S., Castain, R., Siegel, H. J., et al. 2004. Static mapping of subtasks in a heterogeneous ad hoc grid environment. In Proceedings of the 13th IEEE Heterogeneous Computing Workshop (HCW 2004).Google ScholarCross Ref
- Shivle, S., Siegel, H. J., Maciejewski, A. A., et al. 2006. Static allocation of resources to communicating subtasks in a heterogeneous ad hoc grid environment. J. Parall. Distrib. Comput. 66, 4, 600--611. Google ScholarDigital Library
- Skadron, K., Stan, M., Sankaranarayanan, K., Huang, W., Velusamy, S., and Tarjan, D. Mar. 2004. Temperature-aware microarchitecture: Modeling and implementation. ACM Trans. Architect. Code Optim. 1, 1, 94--125. Google ScholarDigital Library
- Tian, Y. and Ekici, E. 2007. Cross-layer collaborative in-network processing in multihop wireless sensor networks. IEEE Trans. Mob. Comput. 6, 3, 297--310. Google ScholarDigital Library
- Tian, Y., Ekici, E., and Ozguner, F. 2005. Energy-constrained task mapping and scheduling in wireless sensor networks. In Proceedings of the IEEE International Conference on Mobile Adhoc and Sensor Systems Conference. 211--218.Google Scholar
- Topol, A. W., La Tulipe Jr., D. C., and Shi, L. 2006. Three-dimensional integrated circuits. IBM J. Res. Development 50, 4/5, 491--506. Google ScholarDigital Library
- Weiser, M., Welch, B., Demers, A., and Shenker, S. 1994. Scheduling for reduced CPU energy. In Proceedings of the 1st USENIX Conference on Operating Systems Design and Implementation. Google ScholarDigital Library
- Yao, F., Demers, A., and Shenker, S. 1995. A scheduling model for reduced CPU energy. In Proceedings of the 36th Annual Symposium on Foundations of Computer Science (FOCS'95). 374--382. Google ScholarDigital Library
- Zhou, P., Ma, Y., Li, Z., Dick, R. P., Shang, L., Zhou, H., Hong, X., and Zhou, Q. 2008. 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD). 590--597. Google ScholarDigital Library
- Zhou, X., Yang, J., Xu, Y., Zhang, Y., and Zhao, J. 2010. Thermal-aware task scheduling for 3D multicore processors. IEEE Trans. Panal. Distr. Syst. 21, 1 (Jan.), 60--70. Google ScholarDigital Library
- Zhu, C., Gu, Z., Shang, L., Dick, R. P., and Joseph, R. 2008. Three-dimensional chip-multiprocessor run-time thermal management. IEEE Trans. Comput. Aided Des. Integ. Circ. Syst. 27, 8 (Aug.), 1479--1492. Google ScholarDigital Library
Index Terms
- Thermal-aware task scheduling in 3D chip multiprocessor with real-time constrained workloads
Recommendations
Real-Time Constrained Task Scheduling in 3D Chip Multiprocessor to Reduce Peak Temperature
EUC '10: Proceedings of the 2010 IEEE/IFIP International Conference on Embedded and Ubiquitous ComputingChip multiprocessor technique has been implemented in embedded systems due to the tremendous computation requirements. Three dimension chip multiprocessor architecture has been studied recently for integrating more functionalities and providing higher ...
Three-dimensional multiprocessor system-on-chip thermal optimization
CODES+ISSS '07: Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis3D stacked wafer integration has the potential to improve multiprocessor system-on-chip (MPSoC) integration density, performance, and power efficiency. However, the power density of 3D MPSoCs increases with the number of active layers, resulting in high ...
Performance-aware thermal management via task scheduling
High on-chip temperature impairs the processor's reliability and reduces its lifetime. Hardware-level dynamic thermal management (DTM) techniques can effectively constrain the chip temperature, but degrades the performance. We propose an OS-level ...
Comments