skip to main content
research-article

Thermal-aware task scheduling in 3D chip multiprocessor with real-time constrained workloads

Authors Info & Claims
Published:22 February 2013Publication History
Skip Abstract Section

Abstract

Chip multiprocessor (CMP) techniques have been implemented in embedded systems due to tremendous computation requirements. Three-dimension (3D) CMP architecture has been studied recently for integrating more functionalities and providing higher performance. The high temperature on chip is a critical issue for the 3D architecture. In this article, we propose an online thermal prediction model for 3D chips. Using this model, we propose novel task scheduling algorithms based on rotation scheduling to reduce the peak temperature on chip. We consider data dependencies, especially inter-iteration dependencies that are not well considered in most of the current thermal-aware task scheduling algorithms. Our simulation results show that our algorithms can efficiently reduce the peak temperature up to 8.1ˆC.

References

  1. Allec, N., Hassan, Z., Shang, L., Dick, R. P., and Yang, R. 2008. Thermalscope: Multi-scale thermal analysis for nanometer-scale integrated circuits. In Proceedings of the ACM/IEEE International Conference on Computer Aided Design (ACM/IEEE ICCAD). 75--82. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Ayoub, R. and Rosing, T. S. 2009. Predict and act: dynamic thermal management for multi-core processors. In Proceeding of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09). ACM, 99--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Black, B., Annavaram, M., Brekelbaum, N., DeVale, J., Jiang, L., Loh, G. H., McCaule, D., Morrow, P., Nelson, D. W., and Pantuso, D. 2006. Die stacking (3D) microarchitecture. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. 469--479. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Brooks, D., Tiwari, V., and Martonosi, M. 2000. WATTCH: A framework for architectural-level power analysis and optimizations. In Proceedings of the IEEE Annual International Symposium on Computer Architecture (IEEE ISCA). 83--94. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Chao, L.-F., LaPaugh, A., and Sha, E. H.-M. 1997. Rotation scheduling: A loop pipelining algorithm. IEEE Trans. Comput. Aided Design Integ. Circ. Syst. 16, 3 (Mar.), 229--239. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Chaparro, P., González, J., Cai, Q., and Chrysler, G. 2009. Dynamic thermal management using thin-film thermoelectric cooling. In Proceedings of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09). ACM, 111--116. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Coskun, A., Rosing, T., and Gross, K. 2008. Proactive temperature balancing for low cost thermal management in MPSoCs. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ACM/IEEE ICCAD). Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Coskun, A. K., Ayala, J. L., Atienza, D., Rosing, T. S., and Leblebici, Y. 2009. Dynamic thermal management in 3D multicore architectures. In Proceedings of the ACM/IEEE Conference and Exhibition on Design, Automation, and Test in Europe (DATE). 1410--1415. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Han, Y., Koren, I., and Moritz, C. A. 2005. Temperature aware floorplanning. In Proceedings of the Workshop on Temperature-Aware Computer Systems.Google ScholarGoogle Scholar
  10. Ibarra, O. H. and Kim, C. E. 1977. Heuristic algorithms for scheduling independent tasks on nonidentical processors. J. ACM 24, 2, 280--289. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. JEDEC. 2009. Failure mechanisms and models for semiconductor devices. http://www.jedec.org.Google ScholarGoogle Scholar
  12. Kessler, R. E. 1999. The Alpha 21264 microprocessor. IEEE Micro 19, 2, 24--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Lin, C., Yang, C., and King, K. 2009. PPT: joint performance/power/thermal management of DRAM memory for multi-core systems. In Proceedings of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09). ACM, 93--98. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Liu, S. and Qiu, M. 2010. Thermal-aware scheduling for peak temperature reduction with stochastic workloads. In Proceedings of the IEEE Real-Time and Embedded Technology and Appreciation Symposium (IEEE/ACM RTAS).Google ScholarGoogle Scholar
  15. Liu, S., Zhang, J., Wu, Q., and Qiu, Q. 2010. Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor. In Proceedings of the IEEE International Symposium on Quality Electronic Design. 390--398.Google ScholarGoogle Scholar
  16. Mosse, D., Aydin, H., Childers, B., and Melhem, R. 2000. Compiler-assisted dynamic power-aware scheduling for real-time applications. In Proceedings of the Workshop on Compilers and Operating Systems for Low-Power.Google ScholarGoogle Scholar
  17. Mulas, F., Pittau, M., Buttu, M., Carta, S., Acquaviva, A., Benini, L., and Atienza, D. 2008. Thermal balancing policy for streaming computing on multiprocessor architectures. In Proceedings of the ACM/IEEE Conference and Exhibition on Design, Automation, and Test in Europe (DATE). 734--739. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Nookala, V., Lilja, D. J., and Sapatnekar, S. S. 2006. Temperature-aware floorplanning of microarchitecture blocks with IPC-power dependence modeling and transient analysis. In ACM/IEEE ISLPED. 298--303. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Pathak, M. and Lim, S. 2008. Thermal-aware steiner routing for 3D stacked ICs. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD). 205--211. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Puttaswamy, K. and Loh, G. 2007. Thermal herding: microarchitecture techniques for controlling hotspots in high-performance 3d-integrated processors. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). 193--204. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Qiu, M., Yang, L., Shao, Z., and Sha, E. H.-M. 2010. Dynamic and leakage energy minimization with soft real-time loop scheduling and voltage assignment. IEEE Trans. TVLSI Syst. 18, 3, 501--504. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Qiu, M., Jia, Z., Xue, C., Shao, Z., and Sha, E. H. M. 2007. Voltage assignment with guaranteed probability satisfying timing constraint for real-time multiproceesor DSP. J. VLSI Sig. Proc. 46, 1, 55--73. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Qiu, M. and Sha, E. H.-M. 2009. Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systems. ACM Trans. Design Automat. Electron. Syst. 14, 2, 1--30. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Qiu, M., Yang, L., Shao, Z., and Sha, E. H.-M. 2009. Rotation scheduling and voltage assignment to minimize energy for SoC. In Proceedings of the International Conference on Computational Science and Engineering. 48--55. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Sankaranarayanan, K., Velusamy, S., Stan, M., and Skadron, K. 2005. A case for thermal-aware floorplanning at the microarchitectural level. IEEE Trans. Comput. Aided Des. Integ. Circ. Syst. 7, 1--16.Google ScholarGoogle Scholar
  26. Shin, D., Kim, J., and Lee, S. 2001. Intra-task voltage scheduling for low-energy, hard real-time applications. IEEE Des. Test Comput. 18, 2, 20--30. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Shivle, S., Castain, R., Siegel, H. J., et al. 2004. Static mapping of subtasks in a heterogeneous ad hoc grid environment. In Proceedings of the 13th IEEE Heterogeneous Computing Workshop (HCW 2004).Google ScholarGoogle ScholarCross RefCross Ref
  28. Shivle, S., Siegel, H. J., Maciejewski, A. A., et al. 2006. Static allocation of resources to communicating subtasks in a heterogeneous ad hoc grid environment. J. Parall. Distrib. Comput. 66, 4, 600--611. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Skadron, K., Stan, M., Sankaranarayanan, K., Huang, W., Velusamy, S., and Tarjan, D. Mar. 2004. Temperature-aware microarchitecture: Modeling and implementation. ACM Trans. Architect. Code Optim. 1, 1, 94--125. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Tian, Y. and Ekici, E. 2007. Cross-layer collaborative in-network processing in multihop wireless sensor networks. IEEE Trans. Mob. Comput. 6, 3, 297--310. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Tian, Y., Ekici, E., and Ozguner, F. 2005. Energy-constrained task mapping and scheduling in wireless sensor networks. In Proceedings of the IEEE International Conference on Mobile Adhoc and Sensor Systems Conference. 211--218.Google ScholarGoogle Scholar
  32. Topol, A. W., La Tulipe Jr., D. C., and Shi, L. 2006. Three-dimensional integrated circuits. IBM J. Res. Development 50, 4/5, 491--506. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Weiser, M., Welch, B., Demers, A., and Shenker, S. 1994. Scheduling for reduced CPU energy. In Proceedings of the 1st USENIX Conference on Operating Systems Design and Implementation. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Yao, F., Demers, A., and Shenker, S. 1995. A scheduling model for reduced CPU energy. In Proceedings of the 36th Annual Symposium on Foundations of Computer Science (FOCS'95). 374--382. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Zhou, P., Ma, Y., Li, Z., Dick, R. P., Shang, L., Zhou, H., Hong, X., and Zhou, Q. 2008. 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD). 590--597. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Zhou, X., Yang, J., Xu, Y., Zhang, Y., and Zhao, J. 2010. Thermal-aware task scheduling for 3D multicore processors. IEEE Trans. Panal. Distr. Syst. 21, 1 (Jan.), 60--70. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Zhu, C., Gu, Z., Shang, L., Dick, R. P., and Joseph, R. 2008. Three-dimensional chip-multiprocessor run-time thermal management. IEEE Trans. Comput. Aided Des. Integ. Circ. Syst. 27, 8 (Aug.), 1479--1492. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Thermal-aware task scheduling in 3D chip multiprocessor with real-time constrained workloads

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Embedded Computing Systems
        ACM Transactions on Embedded Computing Systems  Volume 12, Issue 2
        Special issue on embedded systems for interactive multimedia services (ES-IMS)
        February 2013
        209 pages
        ISSN:1539-9087
        EISSN:1558-3465
        DOI:10.1145/2423636
        Issue’s Table of Contents

        Copyright © 2013 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 22 February 2013
        • Revised: 1 March 2011
        • Accepted: 1 March 2011
        • Received: 1 November 2010
        Published in tecs Volume 12, Issue 2

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader