skip to main content
10.1145/2435264.2435272acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
research-article

Towards simulator-like observability for FPGAs: a virtual overlay network for trace-buffers

Published:11 February 2013Publication History

ABSTRACT

The rising complexity of verification has led to an increase in the use of FPGA prototyping, which can run at significantly higher operating frequencies and achieve much higher coverage than logic simulations. However, a key challenge is observability into these devices, which can be solved by embedding trace-buffers to record on-chip signal values. Rather than connecting a predetermined subset of circuits signals to dedicated trace-buffer inputs at compile-time, in this work we propose that a virtual overlay network is built to multiplex all on-chip signals to all on-chip trace-buffers. Subsequently, at debug-time, the designer can choose a signal subset for observation. To minimize its overhead, we build this network out of unused routing multiplexers, and by using optimal bipartite graph matching techniques, we show that any subset of on-chip signals can be connected to 80-90% of the maximum trace-buffer capacity in less than 50 seconds.

References

  1. Altera. Quartus II Handbook Version 12.0 Volume 3: Verification. http://www.altera.com/literature/hb/qts/qts_qii5v3.pdf, June 2012.Google ScholarGoogle Scholar
  2. S. Asaad, R. Bellofatto, B. Brezzo, C. Haymes, M. Kapur, B. Parker, T. Roewer, P. Saha, T. Takken, and J. Tierno. A Cycle-Accurate, Cycle-Reproducible Multi-FPGA System for Accelerating Multi-core Processor Simulation. In Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA '12, pages 153--162, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Bourgeault. Altera's Partial Reconfiguration Flow. http://www.eecg.utoronto.ca/jayar/FPGAseminar/FPGA_Bourgeault_June23_2011.pdf, June 2011.Google ScholarGoogle Scholar
  4. D. Eppstein. Hopcroft-Karp Bipartite Max-Cardinality Matching and Max Independent Set (Python Recipe). http://code.activestate.com/recipes/123641-hopcroft-karp-bipartite-matching/, April 2002.Google ScholarGoogle Scholar
  5. H. Foster. Challenges of Design and Verification in the SoC Era. http://testandverification.com/files/DVConference2011/2_Harry_Foster.pdf.Google ScholarGoogle Scholar
  6. P. Graham, B. Nelson, and B. Hutchings. Instrumenting Bitstreams for Debugging FPGA Circuits. In Field-Programmable Custom Computing Machines, FCCM'01. The 9th Annual IEEE Symp. on, pages 41--50, March 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. E. Hung and S. J. E. Wilton. Speculative Debug Insertion for FPGAs. In FPL 2011, International Conference on Field Programmable Logic and Applications; Chania, Greece, pages 524--531, September 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. E. Hung and S. J. E. Wilton. Limitations of Incremental Signal-Tracing for FPGA Debug. In FPL 2012, International Conference on Field Programmable Logic and Applications; Oslo, Norway, pages 49--56, August 2012.Google ScholarGoogle Scholar
  9. Y. S. Iskander, C. D. Patterson, and S. D. Craven. Improved Abstractions and Turnaround Time for FPGA Design Validation and Debug. In FPL'11, Proceedings of the 2011 21st International Conference on Field Programmable Logic and Applications, pages 518--523, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. H. F. Ko and N. Nicolici. Algorithms for State Restoration and Trace-Signal Selection for Data Acquisition in Silicon Debug. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 28(2):285--297, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. X. Liu and Q. Xu. On Signal Selection for Visibility Enhancement in Trace-Based Post-Silicon Validation. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 31(8):1263--1274, Aug. 2012.Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. L. McMurchie and C. Ebeling. PathFinder: A Negotiation-Based Performance-Driven Router for FPGAs. In Proceedings of the 1995 ACM Third Int'l Symp. on Field-Programmable Gate Arrays, FPGA '95, pages 111--117, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Y. O. M. Moctar, N. George, H. Parandeh-Afshar, P. Ienne, G. G. Lemieux, and P. Brisk. Reducing the Cost of Floating-Point Mantissa Alignment and Normalization in FPGAs. In Proceedings of the 20th ACM/SIGDA Int'l Symp. on Field-Programmable Gate Arrays, FPGA '12, pages 255--264, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. B. Quinton and S. Wilton. Concentrator Access Networks for Programmable Logic Cores on SoCs. In Circuits and Systems, 2005. ISCAS 2005. IEEE International Symposium on, pages 45--48 Vol. 1, May 2005.Google ScholarGoogle ScholarCross RefCross Ref
  15. J. Rose, J. Luu, C. W. Yu, O. Densmore, J. Goeders, A. Somerville, K. B. Kent, P. Jamieson, and J. Anderson. The VTR Project: Architecture and CAD for FPGAs from Verilog to Routing. In Proceedings of the 20th ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA'12, pages 77--86, February 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. N. Shah and J. Rose. On the Difficulty of Pin-to-Wire Routing in FPGAs. In FPL 2012, International Conference on Field Programmable Logic and Applications; Oslo, Norway, pages 83--90, August 2012.Google ScholarGoogle Scholar
  17. Synopsys. Identify: Simulator-like Visibility into Hardware Debug. http://www.synopsys.com/Tools/Implementation/FPGAImplementation/CapsuleModule/identify_ds.pdf, Aug. 2010.Google ScholarGoogle Scholar
  18. S. Teig. Programmable logic devices in 2032? (FPGA2012 Pre-Conference Workshop). http://tcfpga.org/fpga2012/\allowbreak SteveTeig.pdf, February 2012.Google ScholarGoogle Scholar
  19. Tektronix. Certus Debug Suite. http://www.tek.com/sites/\allowbreak tek.com/files/media/media/resources/Certus_Debug_Suite_Datasheet_54W-28%030--1_4.pdf, July 2012.Google ScholarGoogle Scholar
  20. E. Vansteenkiste, K. Bruneel, and D. Stroobandt. Maximizing the Reuse of Routing Resources in a Reconfiguration-Aware Connection Router. In FPL 2012, International Conference on Field Programmable Logic and Applications; Oslo, Norway, August 2012.Google ScholarGoogle ScholarCross RefCross Ref
  21. T. Wheeler, P. Graham, B. E. Nelson, and B. Hutchings. Using Design-Level Scan to Improve FPGA Design Observability and Controllability for Functional Verification. In FPL '01: Proceedings of the 11th International Conference on Field-Programmable Logic and Applications, pages 483--492, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. M. Wirthlin, B. Nelson, B. Hutchings, P. Athanas, and S. Bohner. FPGA Design Productivity: Existing Limitations and Root Causes. http://www.chrec.org/ftsw/FDP_Session1_Posted.pdf, June 2008.Google ScholarGoogle Scholar
  23. Xilinx. Virtex-6 FPGA Memory Resources: User Guide (UG363 v1.6). http://www.xilinx.com/support/documentation/user_guides/ug363.pdf, April 2011.Google ScholarGoogle Scholar
  24. Xilinx. ChipScope Pro Software and Cores, User Guide. http://www.xilinx.com/support/documentation/sw_manuals/xilinx14_2/chipscope_pro_sw_cores_ug029.pdf, July 2012.Google ScholarGoogle Scholar
  25. Xilinx. Partial Reconfiguration of Xilinx FPGAs Using ISE Design Suite (WP374 v1.2). http://www.xilinx.com/support/documentation/white_papers/wp374_Partial_Reconfig_Xilinx_FPGAs.pdf, May 2012.Google ScholarGoogle Scholar

Index Terms

  1. Towards simulator-like observability for FPGAs: a virtual overlay network for trace-buffers

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      FPGA '13: Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
      February 2013
      294 pages
      ISBN:9781450318877
      DOI:10.1145/2435264

      Copyright © 2013 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 11 February 2013

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate125of627submissions,20%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader