skip to main content
10.1145/2451116.2451135acmconferencesArticle/Chapter ViewAbstractPublication PagesasplosConference Proceedingsconference-collections
research-article

Computational sprinting on a hardware/software testbed

Published:16 March 2013Publication History

ABSTRACT

CMOS scaling trends have led to an inflection point where thermal constraints (especially in mobile devices that employ only passive cooling) preclude sustained operation of all transistors on a chip --- a phenomenon called "dark silicon." Recent research proposed computational sprinting --- exceeding sustainable thermal limits for short intervals --- to improve responsiveness in light of the bursty computation demands of many media-rich interactive mobile applications. Computational sprinting improves responsiveness by activating reserve cores (parallel sprinting) and/or boosting frequency/voltage (frequency sprinting) to power levels that far exceed the system's sustainable cooling capabilities, relying on thermal capacitance to buffer heat.

Prior work analyzed the feasibility of sprinting through modeling and simulation. In this work, we investigate sprinting using a hardware/software testbed. First, we study unabridged sprints, wherein the computation completes before temperature becomes critical, demonstrating a 6.3x responsiveness gain, and a 6% energy efficiency improvement by racing to idle. We then analyze truncated sprints, wherein our software runtime system must intervene to prevent overheating by throttling parallelism and frequency before the computation is complete. To avoid oversubscription penalties (context switching inefficiencies after a truncated parallel sprint), we develop a sprint-aware task-based parallel runtime. We find that maximal-intensity sprinting is not always best, introduce the concept of sprint pacing, and evaluate an adaptive policy for selecting sprint intensity. We report initial results using a phase change heat sink to extend maximum sprint duration. Finally, we demonstrate that a sprint-and-rest operating regime can actually outperform thermally-limited sustained execution.

References

  1. Threading Building Blocks. URL http://threadingbuildingblocks.org.Google ScholarGoogle Scholar
  2. Nokia Point and Find, 2006. URL http://www.pointandfind.nokia.com.Google ScholarGoogle Scholar
  3. Google Goggles, 2009. URL http://www.google.com/mobile/goggles.Google ScholarGoogle Scholar
  4. 2nd Generation Intel Core Processor Family Desktop and Intel Pentium Processor Family Deskop, and LGA1155 Socket, 2011. URL http://www.intel.com/content/dam/doc/guide/2nd-gen-core-lga1155-socket-guide.pdf.Google ScholarGoogle Scholar
  5. S. Albers and A. Antoniadis. Race to Idle: New Algorithms for Speed Scaling with a Sleep State. In Proceedings of the Twenty-Third Annual ACM-SIAM Symposium on Discrete Algorithms, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. O. Azizi, A. Mahesri, B. C. Lee, S. J. Patel, and M. Horowitz. Energy Performance Tradeoffs in Processor Architecture and Circuit Design: A Marginal Cost Analysis. In Proceedings of the 37th Annual International Symposium on Computer Architecture, June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. P. Bailis, V. J. Reddi, S. Gandhi, D. Brooks, and M. I. Seltzer. Dimetrodon: Processor-level Preventive Thermal Management via Idle Cycle Injection. In Proceedings of the 48th Design Automation Conference, June 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. G. Blake, R. G. Dreslinski, T. Mudge, and K. Flautner. Evolution of Thread-Level Parallelism in Desktop Applications. In Proceedings of the 37th Annual International Symposium on Computer Architecture, June 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. Blasgen, J. Gray, M. Mitoma, and T. Price. The Convoy Phenomenon. ACM SIGOPS Operating Systems Review, 13, April 1979. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. R. D. Blumofe, C. F. Joerg, B. C. Kuszmaul, C. E. Leiserson, K. H. Randall, and Y. Zhou. Cilk: An Efficient Multithreaded Runtime System. In Proceedings of the 5th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPOPP), July 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. Borkar and A. A. Chien. The Future of Microprocessors. Communications of the ACM, 54 (5): 67--77, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. K. Chakraborty. Over-provisioned Multicore Systems. PhD thesis, University of Wisconsin, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Chipworks. The New iPad: A Closer Look Inside, Mar. 2012. URL http://www.chipworks.com/en/technical-competitive-analysis/resources/recent-teardowns/2012/03/the-new-ipad-a-closer-look-inside/.Google ScholarGoogle Scholar
  14. J. Clemons, H. Zhu, S. Savarese, and T. Austin. MEVBench: A Mobile Computer Vision Benchmarking Suite. In Proceedings of the IEEE International Symposium on Workload Characterization, Sept. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Computing Community Consortium. 21st Century Computer Architecture: A Community Whitepaper, Mar. 2012. URL http://cra.org/ccc/docs/init/21stcenturyarchitecturewhitepaper.pdf.Google ScholarGoogle Scholar
  16. G. Contreras and M. Martonosi. Characterizing and Improving the Performance of Intel Threading Building Blocks. In Proceedings of the IEEE International Symposium on Workload Characterization, Sept. 2008.Google ScholarGoogle ScholarCross RefCross Ref
  17. B. Erol, E. Antunez, and J. J. Hull. PACER: Toward a Cameraphone-based Paper Interface for Fine-grained and Flexible Interaction with Documents. In Proceedings of the International Symposium on Multimedia, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. H. Esmaeilzadeh, E. Blem, R. S. Amant, K. Sankaralingam, and D. Burger. Dark Silicon and the End of Multicore Scaling. In Proceedings of the 38th Annual International Symposium on Computer Architecture, June 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. M. Frigo, C. E. Leiserson, and K. H. Randall. The Implementation of the Cilk-5 Multithreaded Language. In Proceedings of the SIGPLAN 1998 Conference on Programming Language Design and Implementation, June 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. S. H. Fuller and L. I. Millett. Computing Performance: Game Over or Next Level? IEEE Computer, 44 (1): 31--38, Jan. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. A. Gandhi, M. Harchol-Balter, R. Das, and C. Lefurgy. Optimal Power Allocation in Server Farms. In Proceedings of the 2009 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, June 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. M. Garrett. Powering Down. Queue, 5 (7): 16--21, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. B. Girod, V. Chandrasekhar, D. M. Chen, N.-M. Cheung, R. Grzeszczuk, Y. Reznik, G. Takacs, S. S. Tsai, and R. Vedantham. Mobile Visual Search. IEEE Signal Processing Magazine, July 2011.Google ScholarGoogle Scholar
  24. P. Greenhalgh. Big.LITTLE Processing with ARM Cortex-A15 & Cortex-A7: Improving Energy Efficiency in High-Performance Mobile Platforms, Sept. 2011.Google ScholarGoogle Scholar
  25. A. Gupta, A. Tucker, and S. Urushibara. The Impact of Operating System Scheduling Policies and Synchronization Methods of Performance of Parallel Applications. In Proceedings of the 1991 ACM Sigmetrics Conference on Measurement and Modeling of Computer Systems, May 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. N. Hardavellas, M. Ferdman, B. Falsafi, and A. Ailamaki. Toward Dark Silicon in Servers. IEEEMICRO, 31 (4): 6--15, July 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. C. J. Hughes, P. Kaul, S. V. Adve, R. Jain, C. Park, and J. Srinivasan. Variability in the Execution of Multimedia Applications and Implications for Architecture. In Proceedings of the 28th Annual International Symposium on Computer Architecture, July 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. F. R. Johnson, R. Stoica, A. Ailamaki, and T. C. Mowry. Decoupling Contention Management from Scheduling. In Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, Mar. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. L. I. Kontothanassis, R. W. Wisniewski, and M. L. Scott. Scheduler-Conscious Synchronization. ACM Transactions on Computer Systems, 15 (1): 3--40, Feb. 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. D. Lea. A Java Fork/Join Framework. In Proceedings of the ACM Java Grande 2000 Conference, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. J. Li and J. F. Martınez. Power-Performance Considerations of Parallel Computing on Chip Multiprocessors. ACM Transactions on Architecture and Code Optimization, 2 (4): 397--422, Dec. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. J. Li and J. F. Martinez. Dynamic Power-Performance Adaptation of Parallel Computation on Chip Multiprocessors. In Proceedings of the 12th Symposium on High-Performance Computer Architecture, Feb. 2006.Google ScholarGoogle Scholar
  33. X. Li, Z. Li, F. David, P. Zhou, Y. Zhou, S. Adve, and S. Kumar. Performance Directed Energy Management for Main Memory and Disks. In Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, Oct. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Y. Li, B. C. Lee, D. Brooks, Z. Hu, and K. Skadron. CMP Design Space Exploration Subject to Physical Constraints. In Proceedings of the 12th Symposium on High-Performance Computer Architecture, Feb. 2006.Google ScholarGoogle ScholarCross RefCross Ref
  35. G. Loudon, O. Pellijeff, and L. Zhong-Wei. A Method for Handwriting Input and Correction on Smartphones. In Proceedings of the 7th International Workshop on Frontiers in Handwriting Recognition, 2000.Google ScholarGoogle Scholar
  36. D. Meisner, B. T. Gold, and T. F. Wenisch. PowerNap: Eliminating Server Idle Power. In Proceedings of the 14th International Conference on Architectural Support for Programming Languages and Operating Systems, Mar. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. R. Merritt. ARM CTO: Power Surge Could Create 'Dark Silicon'. EE Times, Oct. 2009. URL http://www.eetimes.com/electronics-news/4085396/ARM-CTO-power-surge-could-create-dark-silicon.Google ScholarGoogle Scholar
  38. F. J. Mesa-Martinez, E. K. Ardestani, and J. Renau. Characterizing Processor Thermal Behavior. In Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, Mar. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. A. Mirhoseini and F. Koushanfar. HypoEnergy: Hybrid Supercapacitor-Battery Power-Supply Optimization for Energy Efficiency. In Proceedings of the Conference on Design, Automation and Test in Europe, Mar. 2011.Google ScholarGoogle ScholarCross RefCross Ref
  40. A. Miyoshi, C. Lefurgy, E. Van Hensbergen, R. Rajamony, and R. Rajkumar. Critical Power Slope: Understanding the Runtime Effects of Frequency Scaling. In Proceedings of the 2002 International Conference on Supercomputing, June 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. Variable SMP (4-PLUS-1TM) -- A Multi-Core CPU Architecture for Low Power and High Performance. NVIDIA, 2011.Google ScholarGoogle Scholar
  42. L. Palma, P. Enjeti, and J. Howze. An Approach to Improve Battery Run-time in Mobile Applications with Supercapacitors. In 34th Annual IEEE Power Electronics Specialist Conference, volume 2, June 2003.Google ScholarGoogle ScholarCross RefCross Ref
  43. S. Park, W. Jiang, Y. Zhou, and S. Adve. Managing Energy-Performance Tradeoffs for Multithreaded Applications on Multiprocessor Architectures. In Proceedings of the 2007 ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, June 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. M. Pedram, N. Chang, Y. Kim, and Y. Wang. Hybrid Electrical Energy Storage Systems. In Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. A. Raghavan, Y. Luo, A. Chandawalla, M. C. Papaefthymiou, K. P. Pipe, T. F. Wenisch, and M. M. K. Martin. Computational Sprinting. In Proceedings of the 17th Symposium on High-Performance Computer Architecture, Feb. 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. E. Rotem, A. Naveh, D. Rajwan, A. Ananthakrishnan, and E. Weissmann. Power Management Architecture of the 2nd Generation Intel Core Microarchitecture, Formerly Codenamed Sandy Bridge. In Hot Chips 23 Symposium, Aug. 2011.Google ScholarGoogle Scholar
  47. A. Shye, B. Scholbrock, and G. Memik. Into the Wild: Studying Real User Activity Patterns to Guide Power Optimizations for Mobile Architectures. In Proceedings of the 42nd International Symposium on Microarchitecture, Nov. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. M. B. Taylor. Is Dark Silicon Useful? Harnessing the Four Horsemen of the Coming Dark Silicon Apocalypse. In Proceedings of the 49th Design Automation Conference, June 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. A. Tilli, A. Bartolini, M. Cacciari, and L. Benini. Don't Burn Your Mobile! Safe Computational Re-Sprinting via Model Predictive Control. In Proceedings of the Eighth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. A. Tucker and A. Gupta. Process Control and Scheduling Issues for Multiprogrammed Shared-Memory Multiprocessors. In Proceedings of the 12th ACM Symposium on Operating System Principles, 1989. Google ScholarGoogle ScholarDigital LibraryDigital Library
  51. S. K. Venkata, I. Ahn, D. Jeon, A. Gupta, C. Louie, S. Garcia, S. Belongie, and M. B. Taylor. SD-VBS: The San Diego Vision Benchmark Suite. In Proceedings of the IEEE International Symposium on Workload Characterization, Sept. 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  52. G. Venkatesh, J. Sampson, N. Goulding, S. Garcia, V. Bryksin, J. Lugo-Martinez, S. Swanson, and M. B. Taylor. Conservation Cores: Reducing the Energy of Mature Computations. In Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, Mar. 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  53. D. Wagner and D. Schmalstieg. Making Augmented Reality Practical on Mobile Phones, Part I. Computer Graphics and Applications, IEEE, 29 (3): 12--15, 2009.Google ScholarGoogle ScholarDigital LibraryDigital Library
  54. L. Yan, L. Zhong, and N. Jha. User-Perceived Latency Driven Voltage Scaling for Interactive Applications. In Proceedings of the 41st Design Automation Conference, June 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  55. B. Zalbaa, J. M. Marina, L. F. Cabezab, and H. Mehling. Review on Thermal Energy Storage with Phase Change: Materials, Heat Transfer Analysis and Applications. Applied Thermal Engineering, 23 (3): 251--283, 2003.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Computational sprinting on a hardware/software testbed

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ASPLOS '13: Proceedings of the eighteenth international conference on Architectural support for programming languages and operating systems
      March 2013
      574 pages
      ISBN:9781450318709
      DOI:10.1145/2451116
      • cover image ACM SIGPLAN Notices
        ACM SIGPLAN Notices  Volume 48, Issue 4
        ASPLOS '13
        April 2013
        540 pages
        ISSN:0362-1340
        EISSN:1558-1160
        DOI:10.1145/2499368
        Issue’s Table of Contents
      • cover image ACM SIGARCH Computer Architecture News
        ACM SIGARCH Computer Architecture News  Volume 41, Issue 1
        ASPLOS '13
        March 2013
        540 pages
        ISSN:0163-5964
        DOI:10.1145/2490301
        Issue’s Table of Contents

      Copyright © 2013 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 16 March 2013

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate535of2,713submissions,20%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader