skip to main content
10.1145/2463209.2488922acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Ripple 2.0: high quality routability-driven placement via global router integration

Authors Info & Claims
Published:29 May 2013Publication History

ABSTRACT

Due to a significant mismatch between the objectives of wirelength and routing congestion, the routability issue is becoming more and more important in VLSI design. In this paper, we present a high quality placer Ripple 2.0 to solve the routability-driven placement problem. We will study how to make use of the routing path information in cell spreading and relieve congestion with tangled logic in detail. Several techniques are proposed, including (1) lookahead routing analysis with pin density consideration, (2) routing path-based cell inflation and spreading and (3) robust optimization on congested cluster. With the official evaluation protocol, Ripple 2.0 outperforms the top contestants on the ICCAD 2012 Contest benchmark suite.

References

  1. C. Alpert, Z. Li, M. Moffitt, G. Nam, J. Roy, and G. Tellez, "What makes a design difficult to route," in ISPD, pp. 7--12, ACM, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. J. Roy and I. Markov, "Seeing the forest and the trees: Steiner wirelength optimization in placement," TCAD, vol. 26, no. 4, pp. 632--644, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. N. Viswanathan and et al, "The ispd-2011 routability-driven placement contest and benchmark suite," in ISPD, pp. 141--146, ACM, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. H. Shojaei, A. Davoodi, and J. Linderoth, "Congestion analysis for global routing via integer programming," in ICCAD, pp. 256--262, IEEE, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Y. Wei and et al, "Glare: Global and local wiring aware routability evaluation," in DAC, pp. 768--773, ACM, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. K. Tsota, C. Koh, and V. Balakrishnan, "Guiding global placement with wire density," in ICCAD, pp. 212--217, IEEE, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. A. Kahng and Q. Wang, "Implementation and extensibility of an analytic placer," TCAD, vol. 24, no. 5, pp. 734--747, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Z. Jiang and et al, "Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs," in DAC, pp. 167--172, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Y. Chuang and et al, "Design-hierarchy aware mixed-size placement for routability optimization," in ICCAD, pp. 663--668, IEEE, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. P. Spindler and F. Johannes, "Fast and accurate routing demand estimation for efficient routability-driven placement," in DATE, pp. 1--6, IEEE, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. X. He, T. Huang, L. Xiao, H. Tian, G. Cui, and E. Young, "Ripple: An effective routability-driven placer by iterative cell movement," in ICCAD, pp. 74--79, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. Kim, J. Hu, D. Lee, and I. Markov, "A simplr method for routability-driven placement," in ICCAD, pp. 67--73, IEEE Press, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. X. Yang, B. Choi, and M. Sarrafizadeh, "Routability-driven white space allocation for fixed-die standard-cell placement," TCAD, vol. 22, no. 4, pp. 410--419, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. C. Li, M. Xie, C. Koh, J. Cong, and P. Madden, "Routability-driven placement and white space allocation," TCAD, vol. 26, no. 5, pp. 858--871, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. U. Brenner and A. Rohe, "An effective congestion-driven placement framework," TCAD, vol. 22, no. 4, pp. 387--394, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. J. Roy and et al, "CRISP: congestion reduction by iterated spreading during placement," in ICCAD, pp. 357--362, ACM, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. W. Hou and et al, "A new congestion-driven placement algorithm based on cell inflation," in ASP-DAC, pp. 605--608, IEEE, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. M. Pan and C. Chu, "Ipr: an integrated placement and routing algorithm," in DAC, pp. 59--62, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. M. Pan and C. Chu, "Fastroute: A step to integrate global routing into placement," in ICCAD, pp. 464--471, ACM, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. J. Hu and et al, "Completing high-quality global routes," in ISPD, pp. 35--41,2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. M. Hsu, S. Chou, T. Lin, and Y. Chang, "Routability-driven analytical placement for mixed-size circuit designs," in ICCAD, pp. 80--84, IEEE, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Y. Zhang and C. Chu, "Crop: Fast and effective congestion refinement of placement," in ICCAD, pp. 344--350, IEEE, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. T. Jindal and et al, "Detecting tangled logic structures in vlsi netlists," in Design Automation Conference (DAC), 2010 47th ACM/IEEE, pp. 603--608, IEEE, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. P. Spindler and et al, "Kraftwerk2: A fast force-directed quadratic placement approach using an accurate net model," TCAD, 27(8), pp. 1398--1411, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. M. Kim, D. Lee, and I. Markov, "simpl: an effective placement algorithm," TCAD, vol. 31, no. 1, pp. 50--60, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. M. Pan, N. Vishwanathan, and C. Chu, "An efficient and effective detailed placement algorithm," in ICCAD, pp. 48--55, IEEE Computer Society, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. X. He, W.-K. Chow, and E. F. Young, "Srp: Simultaneous routing and placement for congestion refinement," in ISDP, ACM, March, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. G. Nam and J. Cong, Modern circuit placement: best practices and results. Springer Publishing Company, Incorporated, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. W. Liu, W. Kao, Y. Li, and K. Chao, "Multi-threaded collision-aware global routing with bounded-length maze routing," in DAC, pp. 200--205, ACM, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. N. Viswanathan and et al, "Iccad-2012 cad contest in design hierarchy aware routability-driven placement and benchmark suite," in ICCAD, pp. 345--348, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Ripple 2.0: high quality routability-driven placement via global router integration

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in
            • Published in

              cover image ACM Conferences
              DAC '13: Proceedings of the 50th Annual Design Automation Conference
              May 2013
              1285 pages
              ISBN:9781450320719
              DOI:10.1145/2463209

              Copyright © 2013 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 29 May 2013

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • research-article

              Acceptance Rates

              Overall Acceptance Rate1,770of5,499submissions,32%

              Upcoming Conference

              DAC '24
              61st ACM/IEEE Design Automation Conference
              June 23 - 27, 2024
              San Francisco , CA , USA

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader