skip to main content
10.1145/2483028.2483059acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Skew-bounded low swing clock tree optimization

Published:02 May 2013Publication History

ABSTRACT

This paper introduces a methodology that optimizes the performance of a low swing clock tree under a skew bound. Low-swing clock trees are preferred for a reduction in the clock switching power, with an expected trade-off in clock slew and skew. In this paper, a heuristic optimization process is introduced that keeps the clock skew under the same skew budget of the originating full-swing clock tree. In this low swing clock optimization, the low power consumption property is preserved. The effect of slew on the logic timing, which is naturally degraded due to low-swing operation, is analyzed within timing slack of some paths in order to highlight the effectiveness of the low swing clock trees in lowering power consumption with limited impact on timing constraints. The experiments performed with the 4 largest ISCAS'89 benchmark circuits operating at 500~MHz, 90~nm technology and 4 different Vdd levels show that the optimized low swing clock tree can achieve an average of upto 11.0% reduction in the power consumption with no more than a skew degradation of 0.5% of the clock period (i.e. within the practical skew budget).

References

  1. G. Shamanna, N. Kurd, J. Douglas, and M. Morrise, "Scalable, sub-1w, sub-10ps clock skew, global clock distribution architecture for Intel Core i7/i5/i3 microprocessors," in Proceedings of the IEEE Symposium on VLSI Circuits (VLSIC), June 2010, pp. 83--84.Google ScholarGoogle Scholar
  2. N. Kurd, J. Barkarullah, R. Dizon, T. Fletcher, and P. Madland, "A multigigahertz clocking scheme for the Pentium 4 microprocessor," IEEE Journal of Solid-State Circuits (JSSC), vol. 36, no. 11, pp. 1647--1653, Nov. 2001.Google ScholarGoogle ScholarCross RefCross Ref
  3. D.-J. Lee, M.-C. Kim, and I. Markov, "Low-power clock trees for CPUs," in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov. 2010, pp. 444--451. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. J. Lu, X. Mao, and B. Taskin, "Integrated clock mesh synthesis with incremental register placement," IEEE Transactions on Computer-Aided Design (TCAD), vol. 31, no. 2, pp. 217--227, Feb. 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Q. Zhu and M. Zhang, "Low-voltage swing clock distribution schemes," in IEEE International Symposium on Circuits and Systems (ISCAS), May 2001, pp. 418--421.Google ScholarGoogle Scholar
  6. J. Pangjun and S. Sapatnekar, "Low-power clock distribution using multiple voltages and reduced swings," IEEE Transactions on Very Large Scale Integration (TVLSI) Systems, vol. 10, no. 3, pp. 309--318, June 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. F. Haj Ali Asgari and M. Sachdev, "A low-power reduced swing global clocking methodology," IEEE Transactions on Very Large Scale Integration (TVLSI) Systems, vol. 12, no. 5, pp. 538--545, May 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. D. Markovic, J. Tschanz, and V. De, "Feasibility study of low-swing clocking," in the International Conference on Microelectronics, vol. 2, May 2004, pp. 547--550.Google ScholarGoogle Scholar
  9. C. Kim and S.-M. Kang, "A low-swing clock double-edge triggered flip-flop," IEEE Journal of Solid-State Circuits, vol. 37, no. 5, pp. 648--652, May 2002.Google ScholarGoogle ScholarCross RefCross Ref
  10. S. Raja, F. Varadi, M. Becer, and J. Geada, "Transistor level gate modeling for accurate and fast timing, noise, and power analysis," in Proceedings of the ACM/IEEE Design Automation Conference (DAC), June 2008, pp. 456--461. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. P. Li and E. Acar, "A waveform independent gate model for accurate timing analysis," in IEEE International Conference on Computer Design (ICCD), Oct. 2005, pp. 363--365. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Synopsys 90nm Generic Library, Synopsys Inc., 2009.Google ScholarGoogle Scholar

Index Terms

  1. Skew-bounded low swing clock tree optimization

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      GLSVLSI '13: Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSI
      May 2013
      368 pages
      ISBN:9781450320320
      DOI:10.1145/2483028

      Copyright © 2013 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 2 May 2013

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      GLSVLSI '13 Paper Acceptance Rate76of238submissions,32%Overall Acceptance Rate312of1,156submissions,27%

      Upcoming Conference

      GLSVLSI '24
      Great Lakes Symposium on VLSI 2024
      June 12 - 14, 2024
      Clearwater , FL , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader