skip to main content
10.1145/2483028.2483061acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Variability-aware design of energy-delay optimal linear pipelines operating in the near-threshold regime and above

Authors Info & Claims
Published:02 May 2013Publication History

ABSTRACT

Soft-edge flip-flop based pipelines can improve the performance and energy efficiency of circuits operating in the super-threshold (supply voltage) regime by allowing opportunistic time borrowing. The application of this technique to near-threshold regime of operation, however, faces a significant challenge due to large circuit parameter variations that result from manufacturing process imperfections and substrate temperature changes. This paper thus addresses the issue of variability-aware design of the energy-delay optimal linear pipelines that are aimed at operating in both the near-threshold and super-threshold regimes. Precisely, this goal is achieved by deriving the optimal delay line configuration in the soft-edge flip-flops in the near-threshold and the super-threshold operations regimes. The key is to ensure that the same transistor sizes result in effective operation of the delay lines (and hence appropriate settings of the transparency window size) in both operation regimes under the process induced variations. Experimental results demonstrate the efficacy of the proposed solution.

References

  1. Dreslinski, R. G., et al. 2010. Near-threshold computing: Reclaiming moore's law through energy efficient integrated circuits. Proceedings of the IEEE, 98(2).Google ScholarGoogle ScholarCross RefCross Ref
  2. Markovic, D., Wang, C. C., Alarcon, L. P., Liu, T. T., and Rabaey, and J. M. 2010. Ultralow-power design in near-threshold region. Proceedings of the IEEE, 98(2).Google ScholarGoogle ScholarCross RefCross Ref
  3. Calhoun, B. H., Wang, A., and Chandrakasan, A. 2005. Modeling and sizing for minimum energy operation in subthreshold circuits. In Journal of Solid State Circuits, 40(9).Google ScholarGoogle Scholar
  4. Zhai, B., Hanson, S., Blaauw, D., and Sylvester, D. 2005. Analysis and mitigation of variability in subthreshold design. In Proc. of Int'l Symp. on Low Power Electronics and Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Seo, S., et al. 2012. Process variation in near-threshold wide SIMD architectures. In Proc. of Design Automation Conf. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Manne, S., Klauser, A., and Grunwald, D. 1998. Pipeline gating: speculation control for energy reduction. In ACM SIGARCH Computer Architecture News. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Jacobson, H., et al. 2005. Stretching the limits of clock-gating efficiency in server-class processors. In Proc. of High-Performance Computer Architecture. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Partovi, H., Burd, R., Salim, U., Weber, F., DiGregorio, L., and Draper, D. 1996. Flow-through latch and edge-triggered flip-flop hybrid elements. In Proc. of Solid-State Circuits Conf.Google ScholarGoogle Scholar
  9. Ghasemazar, M. and Pedram, M. 2008. Minimizing the energy cost of throughput in a linear pipeline by opportunistic time borrowing. In Proc. of Int'l Conf. on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Seok, M., Jeon, D., Chakrabarti, C., Blaauw, D., and Sylvester, D. 2011. Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design. In Proc. of Design Automation Conf. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Datta, A., Bhunia, S., Mukhopadhyay, S., Banerjee, N., and Roy, K. 2005. Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100nm technologies. In Proc. of Design and Test in Europe. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Joshi, V., Blaauw, D., and Sylvester, D. 2007. Soft-edge flip-flops for improved timing yield: design and optimization. In Proc. of Int'l Conf. on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Synopsys 32/28 nm Generic Library: https://sso.synopsys.com/idp/Authn/UserPassword.Google ScholarGoogle Scholar
  14. Hanson, S., et al. 2007. Performance and variability optimization strategies in a sub-200mV, 3.5 pJ/inst, 11nW subthreshold processor. In Proc. of Int'l Symp. on VLSI Circuits.Google ScholarGoogle Scholar
  15. Fisher, S., Dagan, R., Blonder, S., and Fish, A. 2011. An improved model for delay/energy estimation in near-threshold flip-flops. In Proc. of Int'l Symp. on Circuits and Systems.Google ScholarGoogle Scholar
  16. Lotze, N., Ortmanns, M., and Manoli, Y. 2008. Variability of flip-flop timing at sub-threshold voltages. In Proc. of Int'l Symp. on Low Power Electronics and Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Pu, Y., et al. 2010. Misleading energy and performance claims in sub/near threshold digital systems. In Proc. of Int'l Conf. on Computer Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Variability-aware design of energy-delay optimal linear pipelines operating in the near-threshold regime and above

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      GLSVLSI '13: Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSI
      May 2013
      368 pages
      ISBN:9781450320320
      DOI:10.1145/2483028

      Copyright © 2013 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 2 May 2013

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      GLSVLSI '13 Paper Acceptance Rate76of238submissions,32%Overall Acceptance Rate312of1,156submissions,27%

      Upcoming Conference

      GLSVLSI '24
      Great Lakes Symposium on VLSI 2024
      June 12 - 14, 2024
      Clearwater , FL , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader