skip to main content
research-article

Cell transformations and physical design techniques for 3D monolithic integrated circuits

Published:08 October 2013Publication History
Skip Abstract Section

Abstract

3D Monolithic Integration (3DMI), also termed as sequential integration, is a potential technology for future gigascale circuits. In 3DMI technology the 3D contacts, connecting different active layers, are in the order of few 100nm. Given the advantage of such small contacts, 3DMI enables fine-grain (gate-level) partitioning of circuits. In this work we present three cell transformation techniques for standard cell-based ICs with 3DMI technology. As a major contribution of this work, we propose a design flow comprising of a cell transformation technique, cell-on-cell stacking, and a physical design technique (CELONCELPD) aimed at placing cells transformed with cell-on-cell stacking. We analyze and compare various cell transformation techniques for 3DMI technology without disrupting the regularity of the IC design flow. Our experiments demonstrate the effectiveness of CELONCEL design technique, yielding us an area reduction of 37.5%, 16.2% average reduction in wirelength, and 6.2% average improvement in overall delay, compared with a 2D case when benchmarked across various designs in 45nm technology node.

References

  1. Batude, P., Vinet, M., Pouydebasque, A., Clavelier, L., Previtali, B., et al. 2008a. Enabling 3D monolithic integration. In Proceedings of the Electro-Chemical Society Spring Meeting (ECS'08). Vol. 16, 47.Google ScholarGoogle ScholarCross RefCross Ref
  2. Batude, P., Jaud, M.-A., Thomas, O., Clavelier, L., Pouydebasque, A., et al. 2008b. 3d cmos integration: Introduction of dynamic coupling and application to compact and robust 4t sram. In Proceedings of the IEEE International Conference on Integrated Circuit Design and Technology and Tutorial (ICICDT'08). 281--284.Google ScholarGoogle ScholarCross RefCross Ref
  3. Batude, P., Vinet, M., Pouydebasque, A., Le Royer, C., Previtali, B., et al. 2009a. Advances in 3d cmos sequential integration. In Proceedings of the IEEE International Electronic Devices Meeting (IEDM'09). 1--4.Google ScholarGoogle ScholarCross RefCross Ref
  4. Batude, P., Vinet, M., Pouydebasque, A., Le Royer, C., Previtali, B., et al. 2009b. GeOI and soi 3d monolithic cell integrations for high density applications. In Proceedings of the Symposium on VLSI Technology. 166--167.Google ScholarGoogle Scholar
  5. Batude, P., Vinet, M., Xu, C., Previtali, B., Tabone, C., et al. 2011. Demonstration of low temperature 3d sequential fdsoi integration down to 50nm gate length. In Proceedings of the IEEE Symposium on VLSI Technology. 158--159.Google ScholarGoogle Scholar
  6. Bobba, S., Chakraborty, A., Thomas, O., Batude, P., Pavlidis, V. F., and de Micheli, G. 2010. Performance analysis of 3D monolithic integrated circuits. In Proceedings of the IEEE International 3D Systems Integration Conference (3DIC'10). 1--4.Google ScholarGoogle Scholar
  7. Bobba, S., Chakraborty, A., Thomas, O., Batude, P., Ernst, T., et al. 2011. CELONCEL: Effective design technique for 3d monolithic integration targeting high performance integrated circuits. In Proceedings of the 16th Asia and South Pacific Design Automation Conference (ASP-DAC'11). 336--343. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Chakraborty, A., Kumar, A., and Pan, D. Z. 2009. Regplace: A high quality open-source placement framework for structured asics. In Proceedings of the 46th ACM/IEEE Design Automation Conference (DAC'09). 442--447. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Chan, T. F., Cong, J., Shinnerl, J. R., Sze, K., and Xie, M. 2006. MPL6: Enhanced multilevel mixed-size placement. In Proceedings of the International Symposium on Physical Design (ISPD'06). ACM Press, New York, 212--214. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Cong, J., Luo, G., Wei, J., and Zhang, Y. 2007. Thermal-aware 3d ic placement via transformation. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'07). IEEE Computer Society, Washington, DC, 780--785.Google ScholarGoogle Scholar
  11. Das, S., Chandrakasan, A., and Reif, R. 2003. Design tools for 3d integrated circuits. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'03). 53--56. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Deng, Y. and Maly, W. P. 2001. Interconnect characteristics of 2.5-D system integration scheme. In Proceedings of the International Symposium on Physical Design (ISPD'01). ACM Press, New York, 171--175. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Encounter. 2013. SOC encounter tool. http://www.cadence.com/products/di/soc_encounter/pages/default.aspx.Google ScholarGoogle Scholar
  14. Gurobi. 2013. Gurobi optimization. http://www.gurobi.com/.Google ScholarGoogle Scholar
  15. Havemann, R. H. and Hutchby, J. A. 2001. High-performance interconnects: An integration overview. Proc. IEEE 89, 5, 586--601.Google ScholarGoogle ScholarCross RefCross Ref
  16. Ieong, M., Guarini, K. W., Chan, V., Bernstein, K., Joshi, R., Kedzierski, J., and Haensch, W. 2003. Three dimensional cmos devices and integrated circuits. In Proceedings of the IEEE Custom Integrated Circuits Conference. 207--213.Google ScholarGoogle Scholar
  17. ITC99. 1999. http://www.cerc.utexas.edu/itc99-benchmarks/bendoc1.html.Google ScholarGoogle Scholar
  18. Itrs. 2009. www.itrs.net/Links/2009ITRS/2009Chapters_2009Tables.Google ScholarGoogle Scholar
  19. Jiang, Z.-W., Cheny, T.-C., Hsuy, T.-C., Chenz, H.-C., and Changyz, Y.-W. 2006. Ntuplace2: A hybrid placer using partitioning and analytical techniques. In Proceedings of the International Symposium on Physical Design (ISPD'06). 215--217. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Jung, S.-M, Jang, J., Cho, W., Moon, J., Kwak, K., et al. 2004. The revolutionary and truly 3-dimensional 25f2 sram technology with the smallest s3 (stacked single-crystal si) cell, 0.16um2, and sstft (stacked single-crystal thin film transistor) for ultra high density sram. In Proceedings of the Symposium on VLSI Technology, Digest of Technical Papers. 228--229.Google ScholarGoogle Scholar
  21. Jung, S.-M., Lim, H., Yeo, C., Kwak, K., Son, B., et al. 2007. High speed and highly cost effective 72m bit density s3 sram technology with doubly stacked si layers, peripheral only cosix layers and tungsten shunt w/l scheme for standalone and embedded memory. In Proceedings of the Symposium on VLSI Technology. 68--69. http://toc.proceedings.com/02217webtoc.pdf.Google ScholarGoogle Scholar
  22. Kim, H. S., Xue, L., Kumar, A., and Tiwari, S. 2002. Fabrication and electrical properties of buried tungsten structure for direct three dimensional integration. In Proceedings of the International Conference on Solid State Device and Materials (SSDM'02).Google ScholarGoogle Scholar
  23. Kim, D. H., Athikulwongse, K., and Lim, S. K. 2009. A study of through-silicon-via impact on the 3d stacked ic layout. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers (ICCAD'09). 674--680. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Koester, S. J., Young, A. M., Yu, R. R., Purushothaman, S., Chen, K.-N., La Tulipe, D. C., Rana, N., Shi, L., Wordeman, M. R., and Sprogis, E. J. 2008. Wafer-level 3d integration technology. IBM J. Res. Devel. 52, 6, 583--597. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Lin, M., El-Gamal, A., Lu, Y.-C., and Wong, S. 2007. Performance benefits of monolithically stacked 3d fpga. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 26, 2, 216--229. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Loh, G. H., Xie, Y., and Black, B. 2007. Processor design in 3d die-stacking technologies. IEEE Micro 27, 3, 31--48. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Mentor. 2013. Calibre xrc. http://www.mentor.com/products/ic_nanometer_design/verification-signoff/circuit-verification/calibre-xrc/.Google ScholarGoogle Scholar
  28. Mit. 2013. 3D Design Kits, version 3DEM.Google ScholarGoogle Scholar
  29. Nangate. 2013. 45nm library. http://www.nangate.com/.Google ScholarGoogle Scholar
  30. Opencores. 2013. www.opencores.org.Google ScholarGoogle Scholar
  31. Pavlidis, V. and Friedman, E. 2009. Three-Dimensional Integrated Circuit Design. Morgan Kaufmann. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. Roy, J. A., Papa, D. A., Adya, S. N., Chan, H. H., Ng, A. N., Lu, J. F., and Markov, I. L. 2005. Capo: Robust and scalable open-source min-cut floorplacer. In Proceedings of the International Symposium on Physical Design (ISPD'05). Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Saraswat, K. C. 2010. 3-D ics: Motivation, performance analysis, technology and applications. In Proceedings of the 17th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA'10). 1--6.Google ScholarGoogle ScholarCross RefCross Ref
  34. Sdc. 2013. Synopsys design compiler. http://www.synopsys.com/home.aspx.Google ScholarGoogle Scholar
  35. Sillon, N., Astier, A., Boutry, H., Di Cioccio, L., Henry, D., and Leduc, P. 2008. Enabling technologies for 3D integration: From packaging miniaturization to advanced stacked ics. In Proceedings of the IEEE International Electron Devices Meeting (IEDM'08). 1--4.Google ScholarGoogle Scholar
  36. Son, Y.-H., Lee, J.-W., Kang, P., Kang, M.-G., Kim, J.- B., et al. 2007. Laser induced epitaxial growth (leg) technology for high density 3d stacked memory with high productivity. In Proceedings of the IEEE Symposium on VLSI Technology, Digest of Technical Papers. 80--81.Google ScholarGoogle Scholar
  37. Tezzaron. 2013. Wafer stack with super contacts. http://www.tezzaron.com/about/PhotoAlbum/Products/Wafer_Pair_Super-Contacts.html.Google ScholarGoogle Scholar
  38. Wong, S., El-Gamal, A., Griffin, P., Nishi, Y., Pease, F., and Plummer, J. 2007. Monolithic 3d integrated circuits. In Proceedings of the International Symposium on VLSI Technology, Systems and Applications. 1--4.Google ScholarGoogle Scholar
  39. Yang, X., Wang, M., Kastner, R., Ghiasi, S., and Sarrafzadeh, M. 2003. Congestion reduction during placement with provably good approximation bound. ACM Trans. Des. Autom. Electron. Syst. 8, 3, 316--333. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. Zhou, L., Wakayama, C., and Shi, C.-J. R 2006. CASCADE: A standard super-cell design methodology with congestion-driven placement for three-dimensional interconnect-heavy very large scale integrated circuits. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 26, 7, 1270--1282. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Cell transformations and physical design techniques for 3D monolithic integrated circuits

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 9, Issue 3
      September 2013
      196 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/2533711
      Issue’s Table of Contents

      Copyright © 2013 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 8 October 2013
      • Accepted: 1 June 2012
      • Revised: 1 May 2012
      • Received: 1 October 2011
      Published in jetc Volume 9, Issue 3

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader