skip to main content
short-paper
Free Access

TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC

Published:01 January 2014Publication History
Skip Abstract Section

Abstract

Three-dimensional integrated circuit (3D IC) with through-silicon-via (TSV) is believed to offer new levels of efficiency, power, performance, and form-factor advantages over the conventional 2D IC. However, 3D IC involves disruptive manufacturing technologies compared to conventional 2D IC. TSVs cause significant thermomechanical stress that may seriously affect performance, leakage, and reliability of circuits. In this paper, we discuss an efficient and accurate full-chip thermomechanical stress and reliability analysis tool as well as a design optimization methodology to alleviate mechanical reliability issues in 3D ICs. First, we analyze detailed thermomechanical stress induced by TSVs in conjunction with various associated structures such as landing pad and dielectric liner. Then, we explore and validate the linear superposition principle of stress tensors and demonstrate the accuracy of this method against detailed finite element analysis (FEA) simulations. Next, we apply this linear superposition method to full-chip stress simulation and a reliability metric named the von Mises yield criterion. Finally, we propose a design optimization methodology to mitigate the mechanical reliability problems in 3D ICs.

References

  1. Athikulwongse, K., Chakraborty, A., Yang, J.S., Pan, D.Z., Lim, S.K. Stress-driven 3D-IC placement with TSV keep-out zone and regularity study. In Proceedings of IEEE International Conference on Computer-Aided Design (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Athikulwongse, K., Yang, J.S., Pan, D.Z., Lim, S.K. Impact of mechanical stress on the full chip timing for TSV-based 3D ICs. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. (2013).Google ScholarGoogle Scholar
  3. der Plas, G.V. et al. Design issues and considerations for low-cost 3D TSV IC technology. In IEEE International Solid-State Circuits Conference Digest Technical Papers (2010).Google ScholarGoogle Scholar
  4. Fick, D., Dreslinski, R., Giridhar, B., Kim, G., Seo, S., Fojtik, M., Satpathy, S., Lee, Y., Kim, D., Liu, N., Wieckowski, M., Chen, G., Mudge, T., Blaauw, D., Sylvester, S. Centip3De: A cluster-based NTC architecture with 64 ARM Cortex-M3 cores in 3D stacked 130 nm CMOS. IEEE J. Solid-State Circuits 48 (2013).Google ScholarGoogle Scholar
  5. Franssila, S. Introduction to Microfabrication, John Wiley and Sons, 2004.Google ScholarGoogle Scholar
  6. FreePDK45. http://www.eda.ncsu.edu/wiki/FreePDK.Google ScholarGoogle Scholar
  7. International Technology Roadmap for Semiconductors (2012 Update). http://www.itrs.net.Google ScholarGoogle Scholar
  8. Jaeger, R.C., Suhling, J.C., Ramani, R., Bradley, A.T., Xu, J. CMOS stress sensors on (100) silicon. IEEE J. Solid-State Circuits 35 (2000).Google ScholarGoogle Scholar
  9. Jung, M., Liu, X., Sitaraman, S., Pan, D.Z., Lim, S.K. Full-chip through-silicon-via interfacial crack analysis and optimization for 3D IC. In Proceedings of IEEE International Conference on Computer-Aided Design (2011). Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Jung, M., Pan, D., Lim, S.K. Chip/package co-analysis of thermo-mechanical stress and reliability in TSV-based 3D ICs. In Proceedings of ACM Design Automation Conference (2012). Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Karmarkar, A.P., Xu, X., Moroz, V. Performance and reliability analysis of 3D-integration structures employing through silicon via (TSV). In IEEE International Reliability Physics Symposium (2009).Google ScholarGoogle ScholarCross RefCross Ref
  12. Kim, D.H., Athikulwongse, K., Healy, M.B., Hossain, M.M., Jung, M., Khorosh, I., Kumar, G., Lee, Y.J., Lewis, D.L., Lin, T.W., Liu, C., Panth, S., Pathak, M., Ren, M., Shen, G., Song, T., Woo, D.H., Zhao, X., Kim, J., Choi, H., Loh, G.H., Lee, H.H.S., Lim, S.K. 3D-MAPS: 3D massively parallel processor with stacked memory. In IEEE International Solid-State Circuits Conference Digest of Technical Papers (2012).Google ScholarGoogle Scholar
  13. Kim, D.H., Athikulwongse, K., Lim, S.K. A study of through-silicon-via impact on the 3D stacked IC layout. In Proceedings of IEEE International Conference on Computer-Aided Design (2009). Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Liu, X., Chen, Q., Dixit, P., Chatterjee, R., Tummala, R.R., Sitaraman, S.K. Failure mechanisms and optimum design for electroplated copper through-silicon vias (TSV). In IEEE Electronic Components and Technology Conference (2009).Google ScholarGoogle Scholar
  15. Liu, X., Chen, Q., Sundaram, V., Tummala, R.R., Sitaraman, S.K. Failure analysis of through-silicon vias in free-standing wafer under thermal-shock test. Microelectronics Reliab. 5 (2013).Google ScholarGoogle Scholar
  16. Lu, K.H., Zhang, X., Ryu, S.K., Im, J., Huang, R., Ho, P.S. Thermomechanical reliability of 3-D ICs containing through silicon vias. In IEEE Electronic Components and Technology Conference (2009).Google ScholarGoogle Scholar
  17. Ong, J.M.G., Tay, A.A.O., Zhang, X., Kripesh, V., Lim, Y.K., Yeo, D., Chen, K.C., Tan, J.B., Hsia, L.C., Sohn, D.K. Optimization of the thermomechanical reliability of a 65 nm Cu/low-k large-die flip chip package. IEEE Trans. Compon. Packag. Tech. 32 (2009).Google ScholarGoogle Scholar
  18. Pan, D.Z., Lim, S.K., Athikulwongse, K., Jung, M., Mitra, J., Pak, J., Pathak, M., Seok Yang, J. Design for manufacturability and reliability for TSV-based 3D ICs. In Proceedings of Asia and South Pacific Design Automation Conference, (2012).Google ScholarGoogle ScholarCross RefCross Ref
  19. Ryu, S.K., Lu, K.H., Zhang, X., Im, J.H., Ho, P.S., Huang, R. Impact of near-surface thermal stresses on interfacial reliability of through-silicon-vias for 3-D interconnects. In IEEE Transactions on Device and Material Reliability (2010).Google ScholarGoogle Scholar
  20. Samsung. 16 Gb NAND wafer-level stack with TSV. http://www.samsung.com.Google ScholarGoogle Scholar
  21. Xiang, Y., Chen, X., Vlassak, J.J. The mechanical properties of electroplated Cu thin films measured by means of the bulge test technique. In Proceedings of Material Research Society Symposium (2002).Google ScholarGoogle Scholar
  22. Xilinx. Virtex-7 FPGA. http://www.xilinx.com/products/silicondevices/3dic/index.htm.Google ScholarGoogle Scholar
  23. Yang, J.S., Athikulwongse, K., Lee, Y.J., Lim, S.K., Pan, D.Z. TSV stress aware timing analysis with applications to 3D-IC layout optimization. In Proceedings of ACM Design Automation Conference (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Zhang, J., Bloomfield, M.O., Lu, J.Q., Gutmann, R.J., Cale, T.S. Modeling thermal stresses in 3-D IC interwafer interconnects. In IEEE Trans. Semicond. Manuf. (2006).Google ScholarGoogle Scholar
  25. Zhao, X., Scheuermann, M., Lim, S.K. Analysis of DC current crowding in through-silicon-vias and its impact on power integrity in 3D ICs. In Proceedings of ACM Design Automation Conference (2012). Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image Communications of the ACM
      Communications of the ACM  Volume 57, Issue 1
      January 2014
      107 pages
      ISSN:0001-0782
      EISSN:1557-7317
      DOI:10.1145/2541883
      • Editor:
      • Moshe Y. Vardi
      Issue’s Table of Contents

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 1 January 2014

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • short-paper
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDFChinese translation

    eReader

    View online with eReader.

    eReader

    HTML Format

    View this article in HTML Format .

    View HTML Format