skip to main content
short-paper
Free Access

Neural acceleration for general-purpose approximate programs

Published:23 December 2014Publication History
Skip Abstract Section

Abstract

As improvements in per-transistor speed and energy efficiency diminish, radical departures from conventional approaches are needed to continue improvements in the performance and energy efficiency of general-purpose processors. One such departure is approximate computing, where error in computation is acceptable and the traditional robust digital abstraction of near-perfect accuracy is relaxed. Conventional techniques in energy-efficient computing navigate a design space defined by the two dimensions of performance and energy, and traditionally trade one for the other. General-purpose approximate computing explores a third dimension---error---and trades the accuracy of computation for gains in both energy and performance. Techniques to harvest large savings from small errors have proven elusive. This paper describes a new approach that uses machine learning-based transformations to accelerate approximation-tolerant programs. The core idea is to train a learning model how an approximable region of code---code that can produce imprecise but acceptable results---behaves and replace the original code region with an efficient computation of the learned model. We use neural networks to learn code behavior and approximate it. We describe the Parrot algorithmic transformation, which leverages a simple programmer annotation ("approximable") to transform a code region from a von Neumann model to a neural model. After the learning phase, the compiler replaces the original code with an invocation of a low-power accelerator called a neural processing unit (NPU). The NPU is tightly coupled to the processor pipeline to permit profitable acceleration even when small regions of code are transformed. Offloading approximable code regions to NPUs is faster and more energy efficient than executing the original code. For a set of diverse applications, NPU acceleration provides whole-application speedup of 2.3× and energy savings of 3.0× on average with average quality loss of at most 9.6%. NPUs form a new class of accelerators and show that significant gains in both performance and efficiency are achievable when the traditional abstraction of near-perfect accuracy is relaxed in general-purpose computing.

References

  1. Baek, W. and Chilimbi, T.M. Green: A framework for supporting energy-conscious programming using controlled approximation. In PLDI (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Chakrapani, L.N., Akgul, B.E.S., Cheemalavagu, S., Korkmaz, P., Palem, K.V., and Seshasayee, B. Ultra-efficient (embedded) SOC architectures based on probabilistic CMOS (PCMOS) technology. In DATE (2006). Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Chen, T., Chen, Y., Duranton, M., Guo, Q., Hashmi, A., Lipasti, M., Nere, A., Qiu, S., Sebag, M., Temam, O., and Bench, N.N. On the broad potential application scope of hardware neural network accelerators. In IISWC (2012). Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. de Kruijf, M., Nomura, S., and Sankaralingam, K. Relax: An architectural framework for software recovery of hardware faults. In ISCA (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Dennard, R.H., Gaensslen, F.H., Rideout, V.L., Bassous, E., and LeBlanc, A.R. Design of ion-implanted MOSFET's with very small physical dimensions. IEEE J. Solid-State Circ. 9 (Oct. 1974), 256--268.Google ScholarGoogle ScholarCross RefCross Ref
  6. Esmaeilzadeh, H., Saeedi, P., Araabi, B.N., Lucas, C., and Fakhraie, S.M. Neural network stream processing core (NnSP) for embedded systems. In ISCAS (2006).Google ScholarGoogle ScholarCross RefCross Ref
  7. Esmaeilzadeh, H., Blem, E., St. Amant, R., Sankaralingam, K., and Burger, D. Power challenges may end the multicore era. Commun. ACM 56, 2 (Feb. 2013), 93--102. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Esmaeilzadeh, H. et al. Architecture support for disciplined approximate programming. In ASPLOS (2012). Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Esmaeilzadeh, H., Sampson, A., Ceze, L., and Burger, D. Neural acceleration for general-purpose approximate programs. In MICRO (2012). Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Galal, S. and Horowitz, M. Energy-efficient floating-point unit design. IEEE Trans. Comput. 60, 7 (2011) 913--922. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Govindaraju, V., Ho, C.H., and Sankaralingam, K. Dynamically specialized datapaths for energy efficient computing. In HPCA (2011). Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Gupta, S., Feng, S., Ansari, A., Mahlke, S., and August, D. Bundled execution of recurring traces for energy-efficient general purpose processing. In MICRO (2011). Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Guzhva, A., Dolenko, S., and Persiantsev, I. Multifold acceleration of neural network computations using GPU. In ICANN (2009). Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Hameed, R., Qadeer, W., Wachs, M., Azizi, O., Solomatnikov, A., Lee, B.C., Richardson, S., Kozyrakis, C., and Horowitz, M. Understanding sources of inefficiency in general-purpose chips. In ISCA (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Hardavellas, N., Ferdman, M., Falsafi, B., and Ailamaki, A. Toward dark silicon in servers. IEEE Micro 31, 4 (July-Aug. 2011), 6--15. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Hashmi, A., Berry, H., Temam, O., and Lipasti, M. Automatic abstraction and fault tolerance in cortical microarchitectures. In ISCA (2011). Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Joubert, A., Belhadj, B., Temam, O., and Héliot, R. Hardware spiking neurons design: Analog or digital? In IJCNN (2012).Google ScholarGoogle Scholar
  18. Li, S., Ahn, J.H., Strong, R.D., Brockman, J.B., Tullsen, D.M., and Jouppi, N.P. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In MICRO (2009). Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Liu, S., Pattabiraman, K., Moscibroda, T., and Zorn, B.G. Flikker: Saving refresh-power in mobile devices through critical data partitioning. In ASPLOS (2011). Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Muralimanohar, N., Balasubramonian, R., and Jouppi, N. Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0. In MICRO (2007). Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Narayanan, S., Sartori, J., Kumar, R., and Jones, D.L. Scalable stochastic processors. In DATE (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Patel, A., Afram, F., Chen, S., and Ghose, K. MARSx86: A full system simulator for x86 CPUs. In DAC (2011). Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Putnam, A., Bennett, D., Dellinger, E., Mason, J., Sundararajan, P., and Eggers, S. CHiMPS: A high-level compilation flow for hybrid CPU-FPGA architectures. In FPGA (2008). Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Razdan, R. and Smith, M.D. A high-performance microarchitecture with hardware-programmable functional units. In MICRO (1994). Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Rumelhart, D.E., Hinton, G.E., and Williams, R.J. Learning internal representations by error propagation. In Parallel Distributed Processing: Explorations in the Microstructure of Cognition. D.E. Rumelhart, J.L. McClelland, and PDP Research Group, eds. Volume 1. MIT Press, 1986, 318--362. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Sampson, A., Dietl, W., Fortuna, E., Gnanapragasam, D., Ceze, L., and Grossman, D. EnerJ: Approximate data types for safe and general low-power computation. In PLDI (2011). Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Sidiroglou-Douskos, S., Misailovic, S., Hoffmann, H., and Rinard, M. Managing performance vs. accuracy trade-offs with loop perforation. In FSE (2011). Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Temam, O. A defect-tolerant accelerator for emerging high-performance applications. In ISCA (2012). Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Venkatesh, G., Sampson, J., Goulding, N., Garcia, S., Bryksin, V., Lugo-Martinez, J., Swanson, S., and Taylor, M.B. Conservation cores: Reducing the energy of mature computations. In ASPLOS (2010). Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Zhu, J. and Sutton, P. FPGA implementations of neural networks: A survey of a decade of progress. In FPL (2003).Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Neural acceleration for general-purpose approximate programs

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          Full Access

          • Published in

            cover image Communications of the ACM
            Communications of the ACM  Volume 58, Issue 1
            January 2015
            105 pages
            ISSN:0001-0782
            EISSN:1557-7317
            DOI:10.1145/2688498
            • Editor:
            • Moshe Y. Vardi
            Issue’s Table of Contents

            Copyright © 2014 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 23 December 2014

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • short-paper
            • Research
            • Refereed

          PDF Format

          View or Download as a PDF file.

          PDFChinese translation

          eReader

          View online with eReader.

          eReader

          HTML Format

          View this article in HTML Format .

          View HTML Format