skip to main content
10.1145/2593069.2593181acmotherconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

POLAR 2.0: An Effective Routability-Driven Placer

Published:01 June 2014Publication History

ABSTRACT

A wirelength-driven placer without considering routability would lead to unroutable results. To mitigate routing congestion, there are two basic approaches: (1) minimizing the routing demand; (2) distributing the routing demand properly. In this paper, we propose a new placer POLAR 2.0 emphasizing both approaches. To minimize the routing demand, POLAR 2.0 attaches very high importance to maintaining a good wirelength-driven placement in the global placement stage. To distribute the routing demand, cells in congested regions are spread out by a novel routability-driven rough legalization in a global manner and by a history based cell inflation technique in a local manner. The experimental results based on ICCAD 2012 contest benchmark suite show that POLAR 2.0 outperforms all published academic routability-driven placers both in runtime and quality.

References

  1. C. J. Alpert, Z. Li, M. D. Moffitt, G.-J. Nam, J. A. Roy, and G. Tellez. What makes a design difficult to route. ISPD '10, pages 7--12, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. U. Brenner and A. Rohe. An effective congestion driven placement framework. ISPD '02, pages 6--11, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. J. Cong, G. Luo, K. Tsota, and B. Xiao. Optimizing routability in large-scale mixed-size placement. ASP-DAC '13, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  4. X. He, W.-K. Chow, and E. F. Young. SRP: simultaneous routing and placement for congestion refinement. ISPD '13, pages 108--113, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. X. He, T. Huang, W.-K. Chow, J. Kuang, K.-C. Lam, W. Cai, and E. F. Y. Young. Ripple 2.0: high quality routability-driven placement via global router integration. DAC '13, pages 152:1--152:6, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. X. He, T. Huang, L. Xiao, H. Tian, G. Cui, and E. F. Y. Young. Ripple: an effective routability-driven placer by iterative cell movement. ICCAD '11, pages 74--79, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. W. Hou, H. Yu, X. Hong, Y. Cai, W. Wu, J. Gu, and W. H. Kao. A new congestion-driven placement algorithm based on cell inflation. ASP-DAC '01, pages 605--608, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. M.-K. Hsu, Y.-F. Chen, C.-C. Huang, T.-C. Chen, and Y.-W. Chang. Routability-driven placement for hierarchical mixed-size circuit designs. DAC '13, pages 151:1--151:6, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M.-K. Hsu, S. Chou, T.-H. Lin, and Y.-W. Chang. Routability-driven analytical placement for mixed-size circuit designs. ICCAD '11, pages 80--84, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. Hu, M.-C. Kim, and I. L. Markov. Taming the complexity of coordinated place and route. DAC '13, pages 150:1--150:7, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. J. Hu, J. A. Roy, and I. L. Markov. Completing high-quality global routes. ISPD '10, pages 35--41, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M.-C. Kim, J. Hu, D.-J. Lee, and I. L. Markov. A SimPLR method for routability-driven placement. ICCAD '11, pages 67--73, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. M.-C. Kim, D.-J. Lee, and I. L. Markov. SimPL: an effective placement algorithm. ICCAD '10, pages 649--656, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. T. Lin, C. Chu, J. R. Shinnerl, I. Bustany, and I. Nedelchev. POLAR: Placement based on novel rough legalization and refinement. ICCAD '13, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. W.-H. Liu, W.-C. Kao, Y.-L. Li, and K.-Y. Chao. Multi-threaded collision-aware global routing with bounded-length maze routing. DAC '10, pages 200--205, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. W.-H. Liu, C.-K. Koh, and Y.-L. Li. Optimization of placement solutions for routability. DAC '13, pages 153:1--153:9, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. L. McMurchie and C. Ebeling. PathFinder: A negotiation-based performance-driven router for fpgas. FPGA '95, pages 111--117, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. J. A. Roy, J. F. Lu, and I. L. Markov. Seeing the forest and the trees: Steiner wirelength optimization in placemen. ISPD '06, pages 78--85, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. J. A. Roy, N. Viswanathan, G.-J. Nam, C. J. Alpert, and I. L. Markov. CRISP: congestion reduction by iterated spreading during placement. ICCAD '09, pages 357--362, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. P. Spindler and F. M. Johannes. Fast and accurate routing demand estimation for efficient routability-driven placement. DATE '07, pages 1226--1231, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. N. Viswanathan, C. Alpert, C. Sze, Z. Li, and Y. Wei. GLARE: global and local wiring aware routability evaluation.Google ScholarGoogle Scholar
  22. N. Viswanathan, C. Alpert, C. Sze, Z. Li, and Y. Wei. ICCAD-2012 CAD contest in design hierarchy aware routability-driven placement and benchmark suite. ICCAD '12, pages 345--348, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Y. Xu, Y. Zhang, and C. Chu. FastRoute 4.0: global router with efficient via minimization. ASP-DAC '09, pages 576--581, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Y. Zhang and C. Chu. CROP: fast and effective congestion refinement of placement. ICCAD '09, pages 344--350, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  1. POLAR 2.0: An Effective Routability-Driven Placer

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Other conferences
      DAC '14: Proceedings of the 51st Annual Design Automation Conference
      June 2014
      1249 pages
      ISBN:9781450327305
      DOI:10.1145/2593069

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 1 June 2014

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed limited

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader