skip to main content
10.1145/2633948.2633950acmconferencesArticle/Chapter ViewAbstractPublication PagesslipConference Proceedingsconference-collections
tutorial

Worst-Case Noise Area Prediction of On-Chip Power Distribution Network

Authors Info & Claims
Published:01 June 2014Publication History

ABSTRACT

We propose a prediction of the worst-case noise area of the supply voltage on the power distribution network (PDN). Previous works focus on the worst-peak droop to sign off PDN. In this work, we (1) study the behavior of circuit delay over the worst-area noise (2) study the worst-case noise area of a lumped PDN model (3) develop an algorithm to generate the worst-case current for general PDN cases (4) predict the longest delay of a datapath due to power integrity. Experimental results show that the worst-area noise induces additional delay than that of the worst-peak noise.

References

  1. M. Saint-Laurent et al., "Impact of Power-Supply Noise on Timing in High-Frequency Microprocessors," IEEE ADVP, vol. 27, no. 1, pp. 135--144, 2004.Google ScholarGoogle Scholar
  2. T. Pialis and K. Phang, "Analysis of Timing Jitter in Ring Oscillators Due to Power Supply Noise," in ISCAS, 2003, pp. 685--688.Google ScholarGoogle Scholar
  3. Y.-M. Jiang and K.-T. Cheng, "Analysis of Performance Impact Caused by Power Supply Noise in Deep Submicron Devices," in DAC, 1999, pp. 760--765. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. L. D. Smith et al., "System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing," in CICC, 2009, pp. 621--628.Google ScholarGoogle Scholar
  5. M. Popovich, A. Mezhiba, and E. Friedman, Power Distribution Networks with On-Chip Decoupling Capacitors. Springer, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. T.-H. Ding and Y.-S. Li, "Efficient Method for Modeling of SSN Using Time-Domain Impedance Function and Noise Suppression Analysis," IEEE CPMT, vol. 2, no. 3, pp. 510 --520, march 2012.Google ScholarGoogle Scholar
  7. P. Du et al., "Worst-Case Noise Prediction with Non-Zero Current Transition Times for Early Power Distribution System Verification," in ISQED, 2010, pp. 624--631.Google ScholarGoogle Scholar
  8. J. Kim et al., "Closed-Form Expressions for the Maximum Transient Noise Voltage Caused by an IC Switching Current on a Power Distribution Network," IEEE EMC, vol. 54, no. 5, pp. 1112--1124, 2012.Google ScholarGoogle Scholar
  9. A. Waizman and C.-Y. Chung, "Resonant free power network design using extended adaptive voltage positioning (eavp) methodology," IEEE ADVP, vol. 24, no. 3, pp. 236--244, 2001.Google ScholarGoogle Scholar
  10. W. Kim, "Estimation of Simultaneous Switching Noise From Frequency-Domain Impedance Response of Resonant Power Distribution Networks," IEEE CMPT, vol. 1, no. 9, pp. 1359--1367, 2011.Google ScholarGoogle Scholar
  11. I. Novak et al., "Distributed Matched Bypassing for Board-Level Power Distribution Networks," IEEE ADVP, vol. 25, no. 2, pp. 230--243, 2002.Google ScholarGoogle Scholar
  12. D. Kouroussis and F. N. Najm, "A Static Pattern-Independent Technique for Power Grid Voltage Integrity Verification," in DAC, 2003, pp. 99--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. I. A. Ferzli, F. N. Najm, and L. Kruse, "A Geometric Approach for Early Power Grid Verification Using Current Constraints," in DAC, 2007, pp. 40--47. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. M. Ketkar and E. Chiprout, "A Microarchitecture-Based Framework for Pre- and Post-Silicon Power Delivery Analysis," in MICRO, 2009, pp. 179--188. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. E. Chiprout, "On-Die Power Grids: The Missing Link," in DAC, 2010, pp. 940--945. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. N. H. A. Ghani and F. N. Najm, "Power Grid Verification Using Node and Branch Dominance," in DAC, 2011, pp. 682--687. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Huh, M. Swaminathan, and D. Keezer, "Low-noise power delivery network design using power transmission line for mixed-signal testing," in IEEE IMS3TW, 2011, pp. 53--57. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. W. Zhao and Y. Cao, "Predictive Technology Model for Nano-CMOS Design Exploration," JETC, vol. 3, no. 1, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Y. Ogasahara et al., " Validation of a Full-Chip Simulation Model for Supply Noise and Delay Dependence on Average Voltage Drop With On-Chip Delay Measurement," IEEE CAS2, vol. 54, no. 10, pp. 868--872, 2007.Google ScholarGoogle Scholar
  20. X. Zhang, Y. Liu, and C.-K. Cheng, "Worst-case noise prediction using power network impedance profile," SLIP, 2013.Google ScholarGoogle Scholar

Index Terms

  1. Worst-Case Noise Area Prediction of On-Chip Power Distribution Network

                Recommendations

                Comments

                Login options

                Check if you have access through your login credentials or your institution to get full access on this article.

                Sign in
                • Published in

                  cover image ACM Conferences
                  SLIP '14: Proceedings of SLIP (System Level Interconnect Prediction) on System Level Interconnect Prediction Workshop
                  June 2014
                  50 pages
                  ISBN:9781450330534
                  DOI:10.1145/2633948

                  Copyright © 2014 ACM

                  Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                  Publisher

                  Association for Computing Machinery

                  New York, NY, United States

                  Publication History

                  • Published: 1 June 2014

                  Permissions

                  Request permissions about this article.

                  Request Permissions

                  Check for updates

                  Qualifiers

                  • tutorial
                  • Research
                  • Refereed limited

                  Acceptance Rates

                  Overall Acceptance Rate6of8submissions,75%

                PDF Format

                View or Download as a PDF file.

                PDF

                eReader

                View online with eReader.

                eReader