skip to main content
research-article

Novel Through-Silicon-Via Inductor-Based On-Chip DC-DC Converter Designs in 3D ICs

Published:18 November 2014Publication History
Skip Abstract Section

Abstract

There has been a tremendous research effort in recent years to move DC-DC converters on chip for enhanced performance. However, a major limiting factor to implementing on-chip inductive DC-DC converters is the large area overhead induced by spiral inductors. Thus, we propose using through-silicon-vias (TSVs), a critical enabling technique in three-dimensional (3D) integrated systems, to implement on-chip inductors for DC-DC converters. While existing literature show that TSV inductors are inferior compared with conventional spiral inductors due to substrate loss for RF applications, in this article, we demonstrate that it is not the case for DC-DC converters, which operate at relatively low frequencies. Experimental results show that by replacing conventional spiral inductors with TSV inductors, with almost the same efficiency and output voltage, up to 4.3× and 3.2× inductor area reduction can be achieved for the single-phase buck converter and the interleaved buck converter with magnetic coupling, respectively.

References

  1. X. Bian, H. Guo, L. Zhang, K. H. Tan, and C. M. Lai. 2012. Simulation and modeling of wafer level silicon-base spiral inductor. In Proceedings of the 13th International Conference on Electronic Packaging Technology and High Density Packaging (ICEPT-HDP). 29--31.Google ScholarGoogle Scholar
  2. Y. I. Bontzios, M. G. Dimopoulos, and A. A. Hatzopoulos. 2011. Prospects of 3D inductors on through silicon vias processes for 3D ICs. In Proceedings of the IEEE/IFIP International Conference on VLSI and System-on-Chip (VLSI-SoC). 90--93.Google ScholarGoogle Scholar
  3. Y. Choi, N. Chang, and T. Kim. 2007. DC-DC converter-aware power management of low-power embedded systems. IEEE Trans. Comput. Aid. Des. Integr. Circuits Syst. 26, 8, 1367--1381. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Z. Feng, M. R. Lueck, D. S. Temple, and M. B. Steer. 2012. High-performance solenoidal RF transformers on high-resistivity silicon substrates for 3D integrated circuits. IEEE Trans. Microwave Theory Techn. 60, 7, 2066--2072.Google ScholarGoogle ScholarCross RefCross Ref
  5. P. D. Franzon, W. R. Davis, and T. Thorolffson. 2010. Creating 3D specific systems: Architecture, design and CAD. In Proceedings of the Design, Automation and Test in Europe Conference & Exhibition (DATE). 1684--1688. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. D. Huang and M.-C. F. Chang. 2007. Interleaved three-dimensional on-chip differential inductors and transformers. U.S. Patent WO2007019280. Filed August 2, 2006, Issued February 15, 2007.Google ScholarGoogle Scholar
  7. K. Salah, A. El Rouby, H. Ragai, and Y. Ismail. 2012. A closed form expression for TSV-based on-chip spiral inductor. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS). 2325--2328.Google ScholarGoogle Scholar
  8. U. Tida, C. Zhuo, and Y. Shi. 2013. Through-silicon-via Inductor: Is it real or just a fantasy? In Proceedings of the Asia and South Pacfic Design Automation Conference. 837--842.Google ScholarGoogle Scholar
  9. G. VanAckern. 2011. Design guide for CMOS process on-chip 3D inductor using thru-wafer vias. Master's Thesis. Boise State University.Google ScholarGoogle Scholar
  10. M. Wang. 2010. Integrated power inductors in silicon for compact DC-DC converters in portable electronics. Ph.D. Dissertation, University of Florida.Google ScholarGoogle Scholar
  11. J. Wibben and R. Harjani. 2008. A high-efficiency DC-DC converter using 2 nH integrated inductors. IEEE J. Solid-State Circuits 43, 4, 844--854.Google ScholarGoogle ScholarCross RefCross Ref
  12. C. P. Yue and S. S. Wong. 1998. On-chip spiral inductors with patterned ground shields for Si-based RF ICs. IEEE J. Solid-State Circuits 33, 5, 743--752.Google ScholarGoogle ScholarCross RefCross Ref
  13. J. Zhang. 2009. Inductor with patterned ground plane. U.S. Patent US20090250262 A1. Filed April 3, 2008, Issued October 8, 2009.Google ScholarGoogle Scholar
  14. B. Zhang, Y.-Z. Xiong, L. Wang, S. Hu, J. Shi, Y.-Q. Zhuang, L.-W. Li, and X. Yuan. 2010. 3D TSV transformer design for DC-DC/AC-DC converter. In Proceedings of the 60th Electronic Components and Technology Conference (ECTC). 1653--1656.Google ScholarGoogle Scholar
  15. X. Zhao, M. Scheuermann, and S. K. Lim. 2012. Analysis of DC current crowding in through-silicon-vias and its impact on power integrity in 3D ICs. In Proceedings of the 49th ACM/EDAC/IEEE Design Automation Conference (DAC). 157--162. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Novel Through-Silicon-Via Inductor-Based On-Chip DC-DC Converter Designs in 3D ICs

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Journal on Emerging Technologies in Computing Systems
        ACM Journal on Emerging Technologies in Computing Systems  Volume 11, Issue 2
        Special Issue on Reversible Computation and Regular Papers
        November 2014
        199 pages
        ISSN:1550-4832
        EISSN:1550-4840
        DOI:10.1145/2686762
        Issue’s Table of Contents

        Copyright © 2014 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 18 November 2014
        • Accepted: 1 June 2014
        • Revised: 1 February 2014
        • Received: 1 October 2013
        Published in jetc Volume 11, Issue 2

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader