ABSTRACT
Timing-driven placement (TDP) finds new legal locations for standard cells so as to minimize timing violations while preserving placement quality. Although violations may arise from unmet setup or hold constraints, most TDP approaches ignore the latter. Besides, most techniques focus on reducing the worst negative slack and let the improvements on total negative slack as a secondary goal. However, to successfully achieve timing closure, techniques must also reduce the total negative slack, which is known as slack histogram compression. This paper proposes a new Lagrangian Relaxation formulation for TDP to compress both late and early slack histograms. To solve the problem, we employ a discrete local search technique that uses the Lagrange multipliers as net-weights, which are dynamically updated using an accurate timing analyzer. To preserve placement quality, our technique uses a small fixed-size window that is anchored in the initial location of a cell. For the experimental evaluation of the proposed technique, we relied on the ICCAD 2014 TDP contest infrastructure. The results show that our technique significantly reduces the timing violations from an initial global placement. On average, late and early total negative slacks are improved by 85.03% and 42.72%, respectively, while the worst slacks are reduced by 71.55% and 34.40%. The overhead in wirelength is less than 0.1%.
- C. Alpert et al. Placement: hot or not? In Proc. ICCAD, pages 283--290, 2012. Google ScholarDigital Library
- W.-K. Chow et al. Cell density-driven detailed placement with displacement constraint. In Proc. ISPD, pages 3--10, 2014. Google ScholarDigital Library
- A. Chowdhary et al. How accurately can we model timing in a placement engine? In Proc. DAC, pages 801--806, 2005. Google ScholarDigital Library
- C. Chu et al. Flute: Fast lookup table based rectilinear steiner minimal tree algorithm for vlsi design. TCAD, 27(1):70--83, 2008. Google ScholarDigital Library
- H. Eisenmann et al. Generic global placement and oorplanning. In Proc. DAC, pages 269--274, 1998. Google ScholarDigital Library
- B. Halpin et al. Timing driven placement using physical net constraints. In Proc. DAC, pages 780--783, 2001. Google ScholarDigital Library
- T. Hamada et al. Prime: a timing-driven placement tool using a piecewise linear resistive network approach. In Proc. DAC, pages 531--536, 1993. Google ScholarDigital Library
- A. B. Kahng et al. An analytic placer for mixed-size placement and timing-driven placement. In Proc. ICCAD, pages 565--572, 2004. Google ScholarDigital Library
- A. B. Kahng et al. VLSI physical design: from graph partitioning to timing closure, volume 312. 2011. Google ScholarCross Ref
- M.-C. Kim et al. Maple: multilevel adaptive placement for mixed-size designs. In Proc. ISPD, pages 193--200, 2012. Google ScholarDigital Library
- M.-C. Kim, J. Hu, and N. Viswanathan. Iccad-2014 cad contest in incremental timing-driven placement and benchmark suite. In Proc. ICCAD, pages 361--366, 2014. Google ScholarDigital Library
- T. T. Kong. A novel net weighting algorithm for timing-driven placement. In Proc. ICCAD, pages 172--176, 2002. Google ScholarDigital Library
- Z. Li et al. Guiding a physical design closure system to produce easier-to-route designs with more predictable timing. In Proc. DAC, pages 465--470, 2012. Google ScholarDigital Library
- T. Luo et al. A new lp based incremental timing driven placement for high performance designs. In Proc. DAC, pages 1115--1120, 2006. Google ScholarDigital Library
- I. L. Markov et al. Progress and challenges in vlsi placement research. In Proc. ICCAD, pages 275--282, 2012. Google ScholarDigital Library
- M. D. Moffitt et al. Path smoothing via discrete optimization. In Proc. DAC, pages 724--727, 2008. Google ScholarDigital Library
- M. M. Ozdal et al. Algorithms for gate sizing and device parameter selection for high-performance designs. TCAD, 31(10):1558--1571, 2012. Google ScholarDigital Library
- K. Rajagopal et al. Timing driven force directed placement with physical net constraints. In Proc. ISPD, pages 60--66, 2003. Google ScholarDigital Library
- H. Ren et al. Sensitivity guided net weighting for placement-driven synthesis. TCAD, 24(5):711--721, 2005. Google ScholarDigital Library
- H. Ren et al. Hippocrates: first-do-no-harm detailed placement. In Proc. ASP-DAC, pages 141--146, 2007. Google ScholarDigital Library
- B. M. Riess et al. Speed: Fast and efficient timing driven placement. In Proc. ISCAS, pages 377--380, 1995.Google ScholarCross Ref
- D. Sinha et al. Tau 2013 variation aware timing analysis contest. In Proc. ISPD, pages 171--178, 2013. Google ScholarDigital Library
- A. Srinivasan et al. Ritual: A performance driven placement algorithm for small cell ics. In Proc. ICCAD, pages 48--51, 1991.Google ScholarCross Ref
- W. Swartz and C. Sechen. Timing driven placement for large standard cell circuits. In Proc. DAC, pages 211--215, 1995. Google ScholarDigital Library
- H. Tennakoon et al. Nonconvex gate delay modeling and delay optimization. TCAD, 27(9):1583--1594, 2008. Google ScholarDigital Library
- N. Viswanathan et al. Itop: integrating timing optimization within placement. In Proc. ISPD, pages 83--90, 2010. Google ScholarDigital Library
Index Terms
- Timing-Driven Placement Based on Dynamic Net-Weighting for Efficient Slack Histogram Compression
Recommendations
Timing-driven cell placement optimization for early slack histogram compression
DAC '16: Proceedings of the 53rd Annual Design Automation ConferenceAs interconnects dominate circuit performance in modern chip designs, placement becomes an essential stage in optimizing timing. Recent timing-driven placement (TDP) techniques focus mainly on optimizing late slack rather than early slack. This paper ...
Clock-Tree-Aware Incremental Timing-Driven Placement
Special Section on New Physical Design Techniques for the Next Generation Integration Technology and Regular PapersThe increasing impact of interconnections on overall circuit performance makes timing-driven placement (TDP) a crucial step toward timing closure. Current TDP techniques improve critical paths but overlook the impact of register placement on clock tree ...
Drive Strength Aware Cell Movement Techniques for Timing Driven Placement
ISPD '16: Proceedings of the 2016 on International Symposium on Physical DesignAs the interconnections dominate the circuit delay in nanometer technologies, placement plays a major role to achieve timing closure since it is a main step that defines the interconnection lengths. In initial stages of the physical design flow, the ...
Comments