ABSTRACT
This paper presents the 15nm FinFET-based Open Cell Library (OCL) and describes the challenges in the methodology while designing a standard cell library for such advanced technology node. The 15nm OCL is based on a generic predictive state-of-the-art technology node. The proposed cell library is intended to provide access to advanced technology node for universities and other research institutions, in order to design digital integrated circuits and also to develop cell-based design flows, EDA tools and associated algorithms. Developing a 15nm standard cell library brings out design challenges which are not present in previous technology nodes. Some of these challenges include double-patterning for both metal and poly layers, a very restrictive set of physical design rules, and the demand for lithography-friendly patterns. This paper discusses the development of the library considering the challenges associated with advanced technology nodes.
- C. Auth, C. Allen, A. Blattner, et al. A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors. In Proc. of Symp. on VLSI Tech. (VLSIT), 2012.Google ScholarCross Ref
- C. Bencher, Y. Chen, H. Dai, et al. 22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP). In Proc. of SPIE Advanced Lithography. Int'l Soc. for Optics and Photonics, 2008.Google ScholarCross Ref
- K. Bhanushali. Design Rule Development for FreePDK15: An Open Source Predictive Process Design Kit for 15nm FinFET Devices. Master's thesis, North Carolina State University.Google Scholar
- K. Bhanushali and W. R. Davis. FreePDK15: An Open-Source Predictive Process Design Kit for 15nm FinFET Technology. In Proc. of Int'l Symp. on Physical Design (ISPD), 2015. Google ScholarDigital Library
- S. Bobba, A. Chakraborty, O. Thomas, et al. CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits. In Proc. of Asia and South Pacific Design Automation Conference (ASP-DAC), 2011. Google ScholarDigital Library
- Cadence Design Systems. Effective Current Source Model ECSM. http://www.cadence.com/Alliances/languages/Pages/ecsm.aspx, 2005.Google Scholar
- B. Chappell, A. Duncan, K. Ganesh, M. Gunwani, A. Sharma, and M. Swarna. Library architecture challenges for cell-based design. Intel Technology Journal, 8(1), 2004.Google Scholar
- E. Y. Chin, C. S. Levy, and A. R. Neureuther. Variability aware timing models at the standard cell level. In Proc. of SPIE Advanced Lithography. Inter'l Soc. for Optics and Photonics, 2010.Google ScholarCross Ref
- J.-P. Colinge. Multigate transistors: Pushing Moore's law to the limit. In Int'l Conf. on Simulation of Semiconductor Processes and Devices (SISPAD), 2014.Google ScholarCross Ref
- J. F. Croix and D. Wong. Blade and razor: cell and interconnect delay analysis using current-based models. In Design Automation Conference, 2003. Proceedings, pages 386--389. IEEE, 2003. Google ScholarDigital Library
- I. Ferain, C. A. Colinge, and J.-P. Colinge. Multigate transistors as the future of classical metal-oxide-semiconductor field-effect transistors. Nature, 479 (7373):310--316, 2011.Google ScholarCross Ref
- R. Goldman, K. Bartleson, T. Wood, K. Kranen, C. Cao, V. Melikyan, and G. Markosyan. Synopsys' open educational design kit: capabilities, deployment and future. In Proc. of Int'l Conf. on Microelectronic Systems Education, 2009.Google ScholarCross Ref
- M. Hafed, M. Oulmane, and N. C. Rumin. Delay and current estimation in a cmos inverter with an rc load. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 20(1):80--89, 2001. Google ScholarDigital Library
- T. Honda, Y. Kishikawa, Y. Iwasaki, et al. Influence of resist blur on ultimate resolution of arf immersion lithography. Journal of Micro/Nanolithography, MEMS, and MOEMS, 5(4):043004--043004, 2006.Google Scholar
- X. Huang, W.-C. Lee, C. Kuo, et al. Sub 50-nm finfet: Pmos. In Inter'l Electron Devices Meeting (IEDM), 1999.Google Scholar
- Intel Newsroom. 22nm 3-D Tri-Gate Transistor Technology. http://newsroom.intel.com/docs/DOC-2032.Google Scholar
- ITRS. Int'l Tech. Roadmap for Semiconductors. http://www.itrs.net/reports.html, 2013.Google Scholar
- P. Ju, E. Handschin, and D. Karlsson. Nonlinear dynamic load modelling: model and parameter estimation. Power Systems, IEEE Transactions on, 11(4):1689--1697, 1996.Google Scholar
- A. K. Kambham, J. Mody, M. Gilbert, et al. Atom-probe for FinFET dopant characterization. Ultramicroscopy, 111(6):535--539, 2011.Google ScholarCross Ref
- J. G. Koomey, S. Berard, M. Sanchez, and H. Wong. Implications of historical trends in the electrical efficiency of computing. Annals of the History of Computing, IEEE, 33(3):46--54, 2011. Google ScholarDigital Library
- C.-H. Lin, B. Greene, S. Narasimha, et al. High Performance 14nm SOI FinFET CMOS Technology with 0.0174um2 embedded DRAM and 15 Levels of Cu Metallization. In Inter'l Electron Devices Meeting (IEDM), 2014.Google Scholar
- Lundgren, D. Opencores.org. http://opencores.org/project.double_fpu, 2009.Google Scholar
- A. Mallik, N. Horiguchi, J. Bömmels, et al. The economic impact of euv lithography on critical process modules. In SPIE Advanced Lithography. Int'l Soc. for Optics and Photonics, 2014.Google Scholar
- Nangate, Inc. NanGate FreePDK15 Open Cell Library. http://www.nangate.com/?page_id=2328.Google Scholar
- Nangate, Inc. NanGate Library Creator. http://www.nangate.com/.Google Scholar
- NanGate, Inc. NanGate 45nm Open Cell Library. http://www.nangate.com/?page_id=2325, 2008.Google Scholar
- S. Natarajan, M. Agostinelli, S. Akbar, et al. A 14nm Logic Technology Featuring 2nd-Generation FinFET Transistors, Air-Gapped Interconnects, Self-Aligned Double Patterning and a 0.0588um2 SRAM Cell Size. In Int'l Electron Devices Meeting (IEDM), 2014.Google Scholar
- M. Rostami and K. Mohanram. Dual-Vth Independent-Gate FinFETs for Low Power Logic Circuits. Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 30(3):337--349, March 2011. Google ScholarDigital Library
- S. Sinha, B. Cline, G. Yeric, V. Chandra, and Y. Cao. Design benchmarking to 7nm with FinFET predictive technology models. In Proc. of Int'l Symp. on Low Power Electronics and Design (ISLPED), 2012. Google ScholarDigital Library
- S. Sinha, G. Yeric, V. Chandra, B. Cline, and Y. Cao. Exploring sub-20nm finfet design with predictive technology models. In Proc. of Design Automation Conference (DAC), 2012. Google ScholarDigital Library
- Synopsys, Inc. Mountain View, CA. http://www.synopsys.com.Google Scholar
- Synopsys, Inc. CCS Technology. http://www.synopsys.com/Community/Interoperability/Documents/devforum_pres/2005nov/CCS_Technical.pdf, 2005.Google Scholar
- Synopsys, Inc. CCS timing technical white paper. http://www.opensourceliberty.org/ccspaper/ccs_timing_wp.pdf, 2006.Google Scholar
- S. Thompson, M. Armstrong, C. Auth, et al. A 90-nm logic technology featuring strained-silicon. Trans. on Electron Devices (TED), 51(11):1790--1797, Nov 2004.Google Scholar
- E. Vogel. Technology and metrology of new electronic materials and devices. Nature nanotechnology, 2(1):25--32, 2007.Google ScholarCross Ref
- J. Zhang, S. Bobba, N. Patil, et al. Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement. In Proc. of Design Automation Conference (DAC), 2010. Google ScholarDigital Library
- P. Zimmerman. Double patterning lithography: double the trouble or double the fun? SPIE Newsroom, 20, 2009.Google Scholar
Index Terms
- Open Cell Library in 15nm FreePDK Technology
Recommendations
ASAP7 predictive design kit development and cell design technology co-optimization
ICCAD '17: Proceedings of the 36th International Conference on Computer-Aided DesignThis work discusses the ASAP7 predictive process design kit (PDK) and associated standard cell library. The necessity for multi-patterning (MP) techniques at advanced nodes results in the standard cell and SRAM architecture becoming entangled with ...
Power and Energy Efficient Standard Cell Library Design in CDM Logic Style with FinFET Transistors
ICCCNT '16: Proceedings of the 7th International Conference on Computing Communication and Networking TechnologiesIn this paper, the CDM logic style has been analyzed and compared with the Conventional CMOS (C-CMOS) logic style with the FinFET devices in super-threshold operation. Standard cell library with FinFET logic gates in CDM and C-CMOS logic style has been ...
A low-leakage and high-writable SRAM cell with back-gate biasing in FinFET technology
This paper presents a novel low-leakage and high-writable 8T SRAM cell based on FinFET technology. This cell reduces leakage current and consequently leakage power by dynamically adjusting the back gate of the stacked independent-gate FinFET devices. ...
Comments