skip to main content
10.1145/2717764.2717783acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

Open Cell Library in 15nm FreePDK Technology

Published:29 March 2015Publication History

ABSTRACT

This paper presents the 15nm FinFET-based Open Cell Library (OCL) and describes the challenges in the methodology while designing a standard cell library for such advanced technology node. The 15nm OCL is based on a generic predictive state-of-the-art technology node. The proposed cell library is intended to provide access to advanced technology node for universities and other research institutions, in order to design digital integrated circuits and also to develop cell-based design flows, EDA tools and associated algorithms. Developing a 15nm standard cell library brings out design challenges which are not present in previous technology nodes. Some of these challenges include double-patterning for both metal and poly layers, a very restrictive set of physical design rules, and the demand for lithography-friendly patterns. This paper discusses the development of the library considering the challenges associated with advanced technology nodes.

References

  1. C. Auth, C. Allen, A. Blattner, et al. A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors. In Proc. of Symp. on VLSI Tech. (VLSIT), 2012.Google ScholarGoogle ScholarCross RefCross Ref
  2. C. Bencher, Y. Chen, H. Dai, et al. 22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP). In Proc. of SPIE Advanced Lithography. Int'l Soc. for Optics and Photonics, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  3. K. Bhanushali. Design Rule Development for FreePDK15: An Open Source Predictive Process Design Kit for 15nm FinFET Devices. Master's thesis, North Carolina State University.Google ScholarGoogle Scholar
  4. K. Bhanushali and W. R. Davis. FreePDK15: An Open-Source Predictive Process Design Kit for 15nm FinFET Technology. In Proc. of Int'l Symp. on Physical Design (ISPD), 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. S. Bobba, A. Chakraborty, O. Thomas, et al. CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits. In Proc. of Asia and South Pacific Design Automation Conference (ASP-DAC), 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Cadence Design Systems. Effective Current Source Model ECSM. http://www.cadence.com/Alliances/languages/Pages/ecsm.aspx, 2005.Google ScholarGoogle Scholar
  7. B. Chappell, A. Duncan, K. Ganesh, M. Gunwani, A. Sharma, and M. Swarna. Library architecture challenges for cell-based design. Intel Technology Journal, 8(1), 2004.Google ScholarGoogle Scholar
  8. E. Y. Chin, C. S. Levy, and A. R. Neureuther. Variability aware timing models at the standard cell level. In Proc. of SPIE Advanced Lithography. Inter'l Soc. for Optics and Photonics, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  9. J.-P. Colinge. Multigate transistors: Pushing Moore's law to the limit. In Int'l Conf. on Simulation of Semiconductor Processes and Devices (SISPAD), 2014.Google ScholarGoogle ScholarCross RefCross Ref
  10. J. F. Croix and D. Wong. Blade and razor: cell and interconnect delay analysis using current-based models. In Design Automation Conference, 2003. Proceedings, pages 386--389. IEEE, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. I. Ferain, C. A. Colinge, and J.-P. Colinge. Multigate transistors as the future of classical metal-oxide-semiconductor field-effect transistors. Nature, 479 (7373):310--316, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  12. R. Goldman, K. Bartleson, T. Wood, K. Kranen, C. Cao, V. Melikyan, and G. Markosyan. Synopsys' open educational design kit: capabilities, deployment and future. In Proc. of Int'l Conf. on Microelectronic Systems Education, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  13. M. Hafed, M. Oulmane, and N. C. Rumin. Delay and current estimation in a cmos inverter with an rc load. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, 20(1):80--89, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. T. Honda, Y. Kishikawa, Y. Iwasaki, et al. Influence of resist blur on ultimate resolution of arf immersion lithography. Journal of Micro/Nanolithography, MEMS, and MOEMS, 5(4):043004--043004, 2006.Google ScholarGoogle Scholar
  15. X. Huang, W.-C. Lee, C. Kuo, et al. Sub 50-nm finfet: Pmos. In Inter'l Electron Devices Meeting (IEDM), 1999.Google ScholarGoogle Scholar
  16. Intel Newsroom. 22nm 3-D Tri-Gate Transistor Technology. http://newsroom.intel.com/docs/DOC-2032.Google ScholarGoogle Scholar
  17. ITRS. Int'l Tech. Roadmap for Semiconductors. http://www.itrs.net/reports.html, 2013.Google ScholarGoogle Scholar
  18. P. Ju, E. Handschin, and D. Karlsson. Nonlinear dynamic load modelling: model and parameter estimation. Power Systems, IEEE Transactions on, 11(4):1689--1697, 1996.Google ScholarGoogle Scholar
  19. A. K. Kambham, J. Mody, M. Gilbert, et al. Atom-probe for FinFET dopant characterization. Ultramicroscopy, 111(6):535--539, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  20. J. G. Koomey, S. Berard, M. Sanchez, and H. Wong. Implications of historical trends in the electrical efficiency of computing. Annals of the History of Computing, IEEE, 33(3):46--54, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. C.-H. Lin, B. Greene, S. Narasimha, et al. High Performance 14nm SOI FinFET CMOS Technology with 0.0174um2 embedded DRAM and 15 Levels of Cu Metallization. In Inter'l Electron Devices Meeting (IEDM), 2014.Google ScholarGoogle Scholar
  22. Lundgren, D. Opencores.org. http://opencores.org/project.double_fpu, 2009.Google ScholarGoogle Scholar
  23. A. Mallik, N. Horiguchi, J. Bömmels, et al. The economic impact of euv lithography on critical process modules. In SPIE Advanced Lithography. Int'l Soc. for Optics and Photonics, 2014.Google ScholarGoogle Scholar
  24. Nangate, Inc. NanGate FreePDK15 Open Cell Library. http://www.nangate.com/?page_id=2328.Google ScholarGoogle Scholar
  25. Nangate, Inc. NanGate Library Creator. http://www.nangate.com/.Google ScholarGoogle Scholar
  26. NanGate, Inc. NanGate 45nm Open Cell Library. http://www.nangate.com/?page_id=2325, 2008.Google ScholarGoogle Scholar
  27. S. Natarajan, M. Agostinelli, S. Akbar, et al. A 14nm Logic Technology Featuring 2nd-Generation FinFET Transistors, Air-Gapped Interconnects, Self-Aligned Double Patterning and a 0.0588um2 SRAM Cell Size. In Int'l Electron Devices Meeting (IEDM), 2014.Google ScholarGoogle Scholar
  28. M. Rostami and K. Mohanram. Dual-Vth Independent-Gate FinFETs for Low Power Logic Circuits. Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 30(3):337--349, March 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. S. Sinha, B. Cline, G. Yeric, V. Chandra, and Y. Cao. Design benchmarking to 7nm with FinFET predictive technology models. In Proc. of Int'l Symp. on Low Power Electronics and Design (ISLPED), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. S. Sinha, G. Yeric, V. Chandra, B. Cline, and Y. Cao. Exploring sub-20nm finfet design with predictive technology models. In Proc. of Design Automation Conference (DAC), 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Synopsys, Inc. Mountain View, CA. http://www.synopsys.com.Google ScholarGoogle Scholar
  32. Synopsys, Inc. CCS Technology. http://www.synopsys.com/Community/Interoperability/Documents/devforum_pres/2005nov/CCS_Technical.pdf, 2005.Google ScholarGoogle Scholar
  33. Synopsys, Inc. CCS timing technical white paper. http://www.opensourceliberty.org/ccspaper/ccs_timing_wp.pdf, 2006.Google ScholarGoogle Scholar
  34. S. Thompson, M. Armstrong, C. Auth, et al. A 90-nm logic technology featuring strained-silicon. Trans. on Electron Devices (TED), 51(11):1790--1797, Nov 2004.Google ScholarGoogle Scholar
  35. E. Vogel. Technology and metrology of new electronic materials and devices. Nature nanotechnology, 2(1):25--32, 2007.Google ScholarGoogle ScholarCross RefCross Ref
  36. J. Zhang, S. Bobba, N. Patil, et al. Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement. In Proc. of Design Automation Conference (DAC), 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. P. Zimmerman. Double patterning lithography: double the trouble or double the fun? SPIE Newsroom, 20, 2009.Google ScholarGoogle Scholar

Index Terms

  1. Open Cell Library in 15nm FreePDK Technology

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISPD '15: Proceedings of the 2015 Symposium on International Symposium on Physical Design
        March 2015
        204 pages
        ISBN:9781450333993
        DOI:10.1145/2717764

        Copyright © 2015 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 29 March 2015

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        ISPD '15 Paper Acceptance Rate14of37submissions,38%Overall Acceptance Rate62of172submissions,36%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader